aporte a trabajo colaborativo 1

6
UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD Sistemas Digitales Secuenciales 90178_33 Actividad 6: Trabajo colaborativo 1 Víctor Hugo Arrieta Oviedo Programa académico (carrera): Ingeniería de Telecomunicaciones TUTOR Carlos Emel Ruiz

Upload: josesalamancaplazas

Post on 19-Dec-2015

2 views

Category:

Documents


1 download

DESCRIPTION

ELECTRONICA SECUENCIAL

TRANSCRIPT

Page 1: Aporte a Trabajo Colaborativo 1

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIAUNAD

Sistemas Digitales Secuenciales90178_33

Actividad 6: Trabajo colaborativo 1

Víctor Hugo Arrieta Oviedo

Programa académico (carrera): Ingeniería deTelecomunicaciones

TUTORCarlos Emel Ruiz

Barranquilla17 de Abril del 2013

Page 2: Aporte a Trabajo Colaborativo 1

DESCRIPCIÓN DEL PROBLEMA

Usando circuitería combinacional y los circuitos secuenciales estudiados en la primera unidad, diseñar un pequeño robot por dos motores DC, uno en cada rueda trasera del vehículo. El carro contará con dos microswiches en su parte frontal que le servirán para detectar el impacto con un obstáculo.

El diseño debe ser tal, que el vehículo inicie su marcha hacia adelante. Una vez el vehículo impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto.

Una vez que uno de los sensores (microswiches) haya detectado el impacto del vehículo, éste deberá retroceder con un tiempo de reversa de 2 segundos, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable, una vez transcurrido este período de tiempo, el vehículo deberá iniciar nuevamente su marcha hacia adelante.

El carro deberá tener la propiedad de desplazarse en cualquier dirección es de derecha o izquierda.

Deberá ser alimentado con una batería de 5 voltios para tener una alimentación de corriente continua al circuito.

SOLUCIÓN DEL PROBLEMA MEDIANTE FLIP-FLOP

Según lo estudiado en la unidad I del módulo, los flip-flop son de mucha utilidad en aplicaciones donde se requiere retener el estado de un bit.

En el problema que se ha planteado, retener el estado de los detectores es muy importante, ya que los motores deben girar en un sentido coherente para poder realizar la acción deseada, que para el caso, es retroceder durante dos segundos girando hacia el lado que corresponde. Los flip-flop pueden ayudar en la solución de este problema, si se usa un flip-flop del tipo set-reset, ya que solo es importante retener o guardar el valor del bit mientras el robot hace el giro necesario, después podría ser conflictivo en cuanto a que la etapa de control depende de los valores que estén registrados en los flip-flop. El problema planteado requiere la utilización de dos flip-flop (uno para cada detector de obstáculo). Cada flip-flop se activa con nivel bajo, para lo cual se usan compuertas tipo NAND.

Circuito de Temporizado Se utilizara un Circuito Integrado (C.I.) el cual es un dispositivo barato con el cual pueden hacer muchos proyectos. Está constituido por una combinación de comparadores lineales, flip-flops (biestables digitales), transistor de descarga y excitador de salida.

Page 3: Aporte a Trabajo Colaborativo 1

Las tensiones de referencia de los comparadores se establecen en 2/3 V para el primer comparador C1 y en 1/3 V para el segundo comparador C2, por medio del divisor de tensión compuesto por 3 resistencias iguales

En estos días se fabrica una versión CMOS del 555 original, como el Motorola MC1455, que es muy popular. Pero la versión original de los 555 sigue produciéndose con mejoras y algunas variaciones a sus circuitos internos. El 555 está compuesto por 23 transistores, 2 diodos, y 16 resistencias encapsulados en silicio. Hay un circuito integrado que se compone de dos temporizadores en una misma unidad, el 556, de 14 pines y el poco conocido 558 que integra cuatro 555 y tiene 16 pines.Se trabajara con el C.I 555 por las razones mencionadas y se configurara para un tiempo de 2Seg, el tiempo de retroceso del carrito al estrellarse con un obstáculo.

Se configura como monoestable en este caso el circuito entrega a su salida un sólo pulso de un ancho establecido por el diseñador (tiempo de duración). El esquema de conexión es el que se muestra. La Fórmula para calcular el tiempo de duración (tiempo que la salida esta en nivel alto) es:T = 1.1 x R1 x C1 (en segundos). Observa que es necesario que la señal de disparo, sea de nivel bajo y de muy corta duración en el PIN # 2 del C.I. para iniciar la señal de salida.

Tomando un valor de resistencia o comdensador comercial procedemos a resolver la ecuación y obtendremos en valor de resistencia o capacitor esto depende de nuestra elecció.

Para un capacitor de 100microfaradios, y un tiempo de 2 Seg

T= 1.1 * R1*C1

R= 18.1K, en este caso la resistencia comercial que se ajusta a nuestro diseño es 20k.

Puente H

Un Puente H o Puente en H es un circuito electrónico que permite a un motor eléctrico DC girar en ambos sentidos, avance y retroceso. Son ampliamente usados en robótica y como convertidores de potencia. Los puentes H están disponibles como circuitos integrados, pero también pueden construirse a partir de componentes discretos.Por esta razón será usado en nuestro proyecto para el avance y el retroceso de los motores.

Page 4: Aporte a Trabajo Colaborativo 1

Estructura de un puente H (marcado en rojo).

Los 2 estados básicos del circuito.

El término "puente H" proviene de la típica representación gráfica del circuito. Un puente H se construye con 4 interruptores (mecánicos o mediante transistores). Cuando los interruptores S1 y S4 (ver primera figura) están cerrados (y S2 y S3 abiertos) se aplica una tensión positiva en el motor, haciéndolo girar en un sentido. Abriendo los interruptores S1 y S4 (y cerrando S2 y S3), el voltaje se invierte, permitiendo el giro en sentido inverso del motor.Con la nomenclatura que estamos usando, los interruptores S1 y S2 nunca podrán estar cerrados al mismo tiempo, porque esto cortocircuitaría la fuente de tensión. Lo mismo sucede con S3 y S4.

Flip Flop derecho e izquierdo conectado al puente H y un motor girando en un sentido y el otro en sentido contrario.

Montaje de los distintos componentes en simulador proteus

ESTRUCTURA EN VHDL

LIBRARY ieee;USE ieee.std_logic_1164.all; ENTITY proyecto1ISPORT(switche1, switche2: IN BIT; C: OUT BIT;MotorDC1: OUT BIT_VECTOR (1 DOWNTO 0) ; MotorDC2: OUT BIT_VECTOR (1 DOWNTO 0));END proyecto1;ARCHITECTURE Robot_SAVAGERY OF proyecto1 IS BEGIN