libro de fundamentos de-sistemas-digitales-floyd-9ed

1024
Thomas L. Floyd Fundamentos de sistemas digitales 9ª edición www.librosite.net/floyd

Upload: kevin-paul-franco

Post on 13-Jun-2015

808 views

Category:

Engineering


24 download

DESCRIPTION

Libro de fundamentos de-sistemas-digitales-floyd-9ed

TRANSCRIPT

  • 1. Thomas L. Floyd ISBN 978-84-8322-085-6 9 7 8 8 4 8 3 2 2 0 8 5 6 www.pearsoneducacion.com Floyd Fundamentos de sistemas digitales, Novena edicin ofrece conocimientos imprescindibles sobre los fundamentos bsicos de la tecnologa digital para cualquiera que desee desarrollar una carrera en esta excitante industria. Los temas tratados en el texto se cubren con el mismo formato claro, directo y cuidadosamente ilustrado que se ha empleado en las ediciones anteriores. Muchos de ellos se han reforzado o mejorado y se han completado con nuevos problemas bsicos sobre localizacin de averas, aplicaciones de sistemas y diseos especiales. Este libro se ha organizado cuidadosamente para incluir informacin actualizada de temas que pueden cubrirse por completo, utilizarse en formato condensado u omitirse dependiendo del enfoque del curso. Nuevo en esta edicin Cdigo de deteccin y correccin de errores Hamming Sumadores con acarreo anticipado Una breve introduccin aVHDL Informacin ampliada y mejorada sobre instrumentos de prueba Informacin ampliada y reorganizada sobre los dispositivos lgicos programables y su software Informacin mejorada sobre la localizacin de averas Nuevo enfoque en las secciones Aplicacin a los sistemas digitales Fundamentos de sistemas digitales 9 edicin www.librosite.net/floyd Fundamentosdesistemasdigitales OOttrroo lliibbrroo ddee iinntteerrss:: Tocci,Widmer Sistemas Digitales,10.Edicin. Pearson Prentice Hall ISBN 978-970-260-970-4 IInncclluuyyee:: LibroSite es una pgina web asociada al libro, con una gran variedad de recursos y material adicional tanto para los profesores como para estudiantes.Apoyos a la docencia, ejercicios de autocontrol, enlaces relacionados, material de investigacin, etc., hacen de LibroSite el complemento acadmico perfecto para este libro. IIIIIIIIII IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIII IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIII IIIIIwww.librosi te.net/floyd 9 ed.

2. A0_PRINCIPIOS.qxd 28/05/2006 11:57 Pgina 2 3. FUNDAMENTOS DE SISTEMAS DIGITALES A0_PRINCIPIOS.qxd 28/05/2006 11:57 Pgina 1 4. A0_PRINCIPIOS.qxd 28/05/2006 11:57 Pgina 2 5. FUNDAMENTOS DE SISTEMAS DIGITALES Novena Edicin THOMAS L. FLOYD Traduccin Vuelapluma Revisin Tcnica Eduardo Barrera Lpez de Turiso Departamento de Sistemas Electrnicos y de Control Universidad Politcnica de Madrid Madrid Mxico Santa Fe de Bogot Buenos Aires Caracas Lima Montevideo San Juan San Jos Santiago So Paulo White Plains A0_PRINCIPIOS.qxd 28/05/2006 11:57 Pgina 3 6. Todos los derechos reservados. Queda prohibida, salvo excepcin prevista en la Ley, cualquier forma de reproduccin, distri- bucin, comunicacin pblica y transformacin de esta obra sin contar con autorizacin de los titulares de propiedad intelectual. La infraccin de los derechos mencionados puede ser constitu- tiva de delito contra la propiedad intelectual (arts. 270 y sgts. Cdigo Penal). DERECHOS RESERVADOS 2006 por PEARSON EDUCACIN S.A. Ribera del Loira, 28 28042 Madrid FUNDAMENTOS DE SISTEMAS DIGITALES Thomas L. Floyd ISBN 10: 84-8322-085-7 ISBN 13: 978-84-8322-085-6 Deposito Legal: PRENTICE HALL es un sello editorial autorizado de PEARSON EDUCACIN S.A. Authorized translation from the English language edition, entitled DIGITAL FUNDAMENTALS, 9TH Edition by FLOYD, THOMAS L., published by Pearson Education Inc, publishing as Prentice Hall, Copyright 2006 EQUIPO EDITORIAL Editor: Miguel Martn-Romo Tcnico editorial: Marta Caicoya EQUIPO DE PRODUCCIN: Director: Jos A. Clares Tcnico: Mara Alvear Diseo de Cubierta: Equipo de diseo de Pearson Educacin S.A. Impreso por: IMPRESO EN ESPAA - PRINTED IN SPAIN Este libro ha sido impreso con papel y tintas ecolgicos FUNDAMENTOS DE SISTEMAS DIGITALES Thomas L. Floyd PEARSON EDUCACIN S.A., Madrid, 2006 ISBN 10: 84-8322-085-7 Materia: Informtica, 0004.4 Formato: 195 x 250 mm. Pginas: 1024 Datos de catalogacin bibliogrfica A0_PRINCIPIOS.qxd 28/05/2006 11:57 Pgina 4 ISBN 13: 978-84-832-2720-6 7. CONTENIDO Los temas marcados con este smbolo pueden considerarse opcionales. 11 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS 22 1.1 Magnitudes analgicas y digitales 4 1.2 Dgitos binarios, niveles lgicos y formas de onda digitales 6 1.3 Operaciones lgicas bsicas 14 1.4 Introduccin a las funciones lgicas bsicas 16 1.5 Circuitos integrados de funcin fija 22 1.6 Introduccin a la lgica programable 25 1.7 Instrumentos de medida y prueba 31 Aplicacin a los sistemas digitales 43 22 SSIISSTTEEMMAASS DDEE NNUUMMEERRAACCIINN,, OOPPEERRAACCIIOONNEESS YY CCDDIIGGOOSS 5522 2.1 Nmeros decimales 54 2.2 Nmeros binarios 56 2.3 Conversin decimal a binario 60 2.4 Aritmtica binaria 63 2.5 Complemento a 1 y complemento a 2 de los nmeros binarios 67 2.6 Nmeros con signo 69 2.7 Operaciones aritmticas de nmeros con signo 75 2.8 Nmeros hexadecimales 82 2.9 Nmero octales 90 2.10 Cdigo decimal binario (BCD) 93 2.11 Cdigos digitales 96 2.12 Deteccin de errores y cdigos de correccin 104 33 PPUUEERRTTAASS LLGGIICCAASS 112222 3.1 El inversor 124 3.2 La puerta AND 127 3.3 La puerta OR 134 3.4 La puerta NAND 139 3.5 La puerta NOR 145 3.6 Puertas OR-exclusiva y NOR-exclusiva 151 3.7 Lgica programable 155 3.8 Lgica de funcin fija 164 3.9 Localizacin de averas 174 44 LLGGEEBBRRAA DDEE BBOOOOLLEE YY SSIIMMPPLLIIFFIICCAACCIINN LLGGIICCAA 119988 4.1 Operaciones y expresiones booleanas 200 4.2 Leyes y reglas del lgebra de Boole 202 4.3 Teoremas de DeMorgan 207 4.4 Anlisis booleano de los circuitos lgicos 211 4.5 Simplificacin mediante el lgebra de Boole 213 4.6 Formas estndar de las expresiones booleanas 217 4.7 Expresiones booleanas y tablas de verdad 225 4.8 Mapas de Karnaugh 228 4.9 Minimizacin de una suma de productos mediante el mapa de Karnaugh 231 4.10 Minimizacin de un producto de sumas mediante el mapa de Karnaugh 242 4.11 Mapa de Karnaugh de cinco variables 247 4.12 VHDL(opcional) 249 Aplicacin a los sistemas digitales 252 A1_CONTENIDO_FLOYD.qxd 27/05/2006 11:07 Pgina V 8. 55 AANNLLIISSIISS DDEE LLAA LLGGIICCAA CCOOMMBBIINNAACCIIOONNAALL 227700 5.1 Circuitos lgicos combinacionales bsicos 272 5.2 Implementacin de la lgica combinacional 277 5.3 La propiedad universal de las puertas NAND y NOR 284 5.4 Lgica combinacional con puertas NAND y NOR 286 5.5 Funcionamiento de los circuitos lgicos con trenes de impulsos 292 5.6 Lgica combinacional con VHDL 295 5.7 Localizacin de averas 302 Aplicacin a los sistemas digitales 308 66 FFUUNNCCIIOONNEESS DDEE LLAA LLGGIICCAA CCOOMMBBIINNAACCIIOONNAALL 332266 6.1 Sumadores bsicos 328 6.2 Sumadores binarios en paralelo 332 6.3 Sumadores con acarreo serie y acarreo anticipado 340 6.4 Comparadores 344 6.5 Decodificadores 348 6.6 Codificadores 359 6.7 Convertidores de cdigo 364 6.8 Multiplexores (selectores de datos) 367 6.9 Demultiplexores 377 6.10 Generadores / comprobadores de paridad 379 6.11 Localizacin de averas 383 Aplicacin a los sistemas digitales 386 77 LLAATTCCHHEESS,, FFLLIIPP--FFLLOOPPSS YY TTEEMMPPOORRIIZZAADDOORREESS 441100 7.1 Latches 412 7.2 Flip-flops disparados por flanco 419 7.3 Caractersticas de funcionamiento de los flip-flops 433 7.4 Aplicaciones de los flip-flops 436 7.5 Monoestables 441 VVII CCOONNTTEENNIIDDOO 7.6 El temporizador 555 448 7.7 Localizacin de averas 454 Aplicacin a los sistemas digitales 457 88 CCOONNTTAADDOORREESS 447744 8.1 Funcionamiento del contador asncrono 476 8.2 Funcionamiento del contador sncrono 485 8.3 Contador sncrono ascendente/ descendente 494 8.4 Diseo de contadores sncronos 499 8.5 Contadores en cascada 509 8.6 Decodificacin de contadores 514 8.7 Aplicaciones de los contadores 518 8.8 Smbolos lgicos con notacin de dependencia 523 8.9 Localizacin de averas 525 Aplicacin a los sistemas digitales 530 99 RREEGGIISSTTRROOSS DDEE DDEESSPPLLAAZZAAMMIIEENNTTOO 555500 9.1 Funciones bsicas de los registros de desplazamiento 552 9.2 Registros de desplazamiento con entrada y salida serie 553 9.3 Registros de desplazamiento con entrada serie y salida paralelo 558 9.4 Registros de desplazamiento con entrada paralelo y salida serie 560 9.5 Registros de desplazamiento con entrada y salida paralelo 564 9.6 Registros de desplazamiento bidireccionales 566 9.7 Contadores basados en registros de desplazamiento 569 9.8 Aplicaciones de los registros de desplazamiento 573 9.9 Smbolos lgicos con notacin de dependencia 581 9.10 Localizacin de averas 583 Aplicacin a los sistemas digitales 586 A1_CONTENIDO_FLOYD.qxd 27/05/2006 11:07 Pgina VI 9. 1100 MMEEMMOORRIIAASS YY AALLMMAACCEENNAAMMIIEENNTTOO 660000 10.1 Principios de las memorias semiconductoras 602 10.2 Memorias de acceso aleatorio (RAM) 607 10.3 Memorias de slo lectura (ROM) 622 10.4 Memorias ROM programables (PROM y EPROM) 629 10.5 Memorias flash 632 10.6 Expansin de memorias 637 10.7 Tipos especiales de memorias 644 10.8 Memorias pticas y magnticas 650 10.9 Localizacin de averas 657 Aplicacin a los sistemas digitales 661 1111 SSOOFFTTWWAARREE YY LLGGIICCAA PPRROOGGRRAAMMAABBLLEE 668800 11.1 Lgica programable: SPLD y CPLD 682 11.2 Dispositivos CPLD de Altera 690 11.3 Dispositivos CPLD de Xilinx 697 11.4 Macroceldas 701 11.5 Lgica programable: dispositivos FPGA 706 11.6 Dispositivos FPGA de Altera 712 11.7 Dispositivos FPGA de Xilinx 716 11.8 Software de lgica programable 723 11.9 Lgica de exploracin de contorno 736 11.10 Localizacin de averas 744 Aplicacin a los sistemas digitales 751 1122 IINNTTRROODDUUCCCCIINN AA LLAASS CCOOMMPPUUTTAADDOORRAASS 777788 12.1 Una computadora bsica 780 12.2 Microprocesadores 784 12.3 Una familia especfica de microprocesadores 787 12.4 Programacin de computadoras 795 12.5 Interrupciones 806 12.6 Acceso directo a memoria (DMA) 809 12.7 Interfaces internas 810 CCOONNTTEENNIIDDOO VVIIII 12.8 Buses estndar 815 1133 IINNTTRROODDUUCCCCIINN AALL PPRROOCCEESSAAMMIIEENNTTOO DDIIGGIITTAALL DDEE LLAA SSEEAALL 883344 13.1 Fundamentos del procesamiento digital de la seal 836 13.2 Conversin de seales analgicas a formato digital 837 13.3 Mtodos de conversin analgica- digital 844 13.4 Procesador digital de la seal (DSP) 856 13.5 Mtodos de conversin digital- analgica 864 1144 TTEECCNNOOLLOOGGAASS DDEE CCIIRRCCUUIITTOOSS IINNTTEEGGRRAADDOOSS 888822 14.1 Parmetros y caractersticas de operacin bsicas 884 14.2 Circuitos CMOS 893 14.3 Circuitos TTL 899 14.4 Consideraciones prcticas sobre el uso de TTL 905 14.5 Comparacin de las prestaciones de CMOS y TTL 914 14.6 Circuitos ECL 915 14.7 PMOS, NMOS y E2CMOS 917 AAPPNNDDIICCEESS A Conversiones 931 B Interfaz de las luces de los semforos 933 Respuestas a los problemas impares 935 Glosario 977 ndice 995 A1_CONTENIDO_FLOYD.qxd 27/05/2006 11:07 Pgina VII 10. A1_CONTENIDO_FLOYD.qxd 27/05/2006 11:07 Pgina VIII 11. PREFACIO Bienvenido a Fundamentos de sistemas digitales. Novena edicin. Unos conocimientos slidos sobre los fun- damentos bsicos de la tecnologa digital son imprescindibles para cualquiera que desee desarrollar una carre- ra en esta excitante industria. Este texto se ha organizado cuidadosamente para incluir informacin actualiza- da de temas que pueden cubrirse por completo, utilizarse en formato condensado, u omitirse dependiendo del enfoque del curso. Los temas tratados en el texto se cubren con el mismo formato claro, directo y cuidadosamente ilustrado que se ha empleado en las ediciones anteriores. Muchos temas se han reforzado o mejorado y pueden encon- trarse numerosas mejoras a lo largo del libro. Probablemente, encontrar ms temas de los que se pueden cubrir en un curso cuatrimestral. Este amplio rango de temas proporciona la flexibilidad para disear una amplia variedad de cursos. Por ejemplo, algunos de los temas orientados al diseo o a las aplicaciones de los sistemas pueden no ser apropiados en algunos cursos. Otros cursos pueden no cubrir la lgica programable, mientras que otros pueden no disponer del tiem- po necesario para tratar temas como las computadoras, microprocesadores o el procesamiento digital de la seal. Tambin, en algunos cursos puede no ser necesario entrar en los detalles de la circuitera interna de los chips. Estos y otros temas se pueden omitir o verse por encima sin que los temas fundamentales se vean afec- tados. Disponer de conocimientos sobre los circuitos de transistores no es un prerrequisito para este libro de texto, aunque la tecnologa de circuitos integrados se cubre en un "captulo flotante", que es opcional. El texto tiene una organizacin modular que permite incluir o excluir varios temas sin que tenga repercu- sin sobre el resto de los temas incluidos en un determinado curso. Dado que la lgica programable est adqui- riendo cada vez ms importancia, se ha dedicado un captulo completo al tema (Captulo 11), incluyendo el estudio de los dispositivos PAL, GAL, CPLD y FPGA, as como de dispositivos especficos de Altera y Xilinx. Tambin se ha incluido una introduccin de carcter general al software de los dispositivos lgicos programables. Nuevo en esta edicin Cdigo de deteccin y correccin de errores Hamming Sumadores con acarreo anticipado Una breve introduccin a VHDL Informacin ampliada y mejorada sobre instrumentos de prueba Informacin ampliada y reorganizada sobre los dispositivos lgicos programables y su software. Informacin mejorada sobre la localizacin de averas Nuevo enfoque en las secciones Aplicacin a los sistemas digitales Caractersticas Notas intercaladas en el texto que proporcionan informacin en un formato resumido. Las palabras clave se enumeran al principio de cada captulo. Dentro del captulo, estas palabras clave se resaltan en negrita y cursiva. Cada palabra clave se define al final del captulo, as como al final del libro en un extenso glosario. A2_PREFACIO.qxd 26/05/2006 12:13 Pgina IX 12. El Captulo 14 se ha diseado como un captulo flotante para proporcionar informacin opcional sobre la tecnologa de circuitos integrados (circuitera interna del chip), que se puede estudiar en cual- quier momento a lo largo del curso. Al principio de cada captulo se enumeran los objetivos y se hace una breve introduccin. Se incluye al principio de cada seccin una introduccin y los objetivos de la misma. Al final de cada seccin se plantean ejercicios y cuestiones de repaso. Se incluye un problema relacionado en cada ejemplo resuelto. Se han intercalado Notas Informticas a lo largo del texto que proporcionan informacin interesante sobre la tecnologa informtica relacionada con la cuestin que se est estudiando. Consejos prcticos intercalados proporcionan informacin til y prctica. Las secciones Aplicacin a los sistemas digitales se incluyen al final de muchos de los captulos y exponen aplicaciones interesantes y prcticas de los fundamentos de los sistemas lgicos. Resmenes al final de cada captulo. Autotest con mltiples respuestas al final de cada captulo. Conjuntos de problemas organizados por secciones al final de cada captulo, incluyendo problemas bsicos, de localizacin de averas, de aplicaciones de sistemas y de diseos especiales. Se cubre el uso y aplicacin de instrumentos de prueba, como el osciloscopio, el analizador lgico, el generador de funciones y los multmetros digitales (DMM). El Captulo 12 proporciona una introduccin a las computadoras. El Captulo 13 presenta el procesamiento digital de la seal, incluyendo la conversin analgica-digi- tal y la conversin digital-analgica. Al principio del Captulo 1 se presentan conceptos sobre la lgica programable. Se presentan a lo largo del texto circuitos integrados especficos que implementan una funcin deter- minada. El Captulo 11 aborda los dispositivos PAL, GAL y FPGA, as como una exposicin de carcter gene- ral sobre la programacin de dispositivos PLD. En el Captulo 11 se introduce la lgica de exploracin de contorno asociada con los dispositivos pro- gramables. Adems de la tcnica de exploracin de contorno, el tema de la localizacin de averas incluye otros mtodos para probar los dispositivos programables, como las pruebas tradicionales y las camas de pin- chos. Para aquellos que deseen incluir una introduccin a la programacin con ABEL, pueden encontrar informacin en el sitio web www.librosite.net/floyd. Otros recursos para el estudiante Experiments in Digital Fundamentals de David M. Buchla es un manual de laboratorio. Las soluciones de este manual estn disponibles en el manual del profesor Instructor's Resoruce Manual. Recursos para el profesor Sitio web www.librosite.net/floyd. Este sitio web ofrece al profesor la posibilidad de publicar su plan de estudios en lnea con nuestro programa Syllabus ManagerTM . Se trata de una excelente solucin para la enseanza a distancia, autodidacta o asistida por computadora. XX PPRREEFFAACCIIOO A2_PREFACIO.qxd 26/05/2006 12:13 Pgina X 13. Instructor's Resource Manual. Este manual incluye las soluciones a los problemas planteados en los cap- tulos, las soluciones a las secciones de Aplicacin a los sistemas digitales y los resultados de laboratorio para el manual de David M. Buchla (impreso y en lnea) Test Item File. Esta edicin incorpora ms de 900 cuestiones. TestGen. Es una versin electrnica de Tests Item File, que permite a los profesores personalizar los ex- menes para cada curso. Caractersticas de los captulos IInnttrroodduucccciinn ddeell ccaappttuulloo Las dos primeras pginas de cada captulo tienen el formato que se indica en la Figura P.1. La pgina de la izquierda contiene la lista de las secciones y la lista de los objetivos del captulo. En la pgina de la derecha se presenta la introduccin, una lista de los dispositivos especficos que se vern en el captulo (cada nuevo dispositivo se indica mediante el logotipo de un circuito integrado en el lugar donde se introduce), una breve descripcin de la aplicacin a los sistemas digitales que se ver en el captulo y una lista de palabras clave. IInnttrroodduucccciinn ddee llaa sseecccciinn Cada seccin del captulo comienza con una breve introduccin, que proporciona una visin general y una lista de los objetivos de la misma. En la Figura P.2 se muestra un ejemplo. RReevviissiinn ddee llaa sseecccciinn Cada seccin termina con una revisin, en la que se incluyen preguntas o ejercicios sobre los principales conceptos presentados, como se muestra en la Figura P.2. Las respuestas a estos ejercicios se encuentran al final de cada captulo. FFIIGGUURRAA PP..11 Introduccin del captulo. Elaborar los diagramas de tiempos que muestran las relaciones de tiempo de las entradas y las sali- das de las diferentes puertas lgicas. Establecer las comparaciones bsicas entre las principales tecnologas de circuitos integrados: TTL y CMOS. Explicar las diferencias entre las series de las familias TTL y CMOS. Definir, para las puertas lgicas, los siguientes parmetros: tiempo de retardo de propagacin, disipacin de potencia, producto velocidad potencia y fanout. Enumerar circuitos integrados de funcin fija que contengan varias puertas lgicas. Utilizar cada puerta lgica en aplicaciones senci- llas. Localizacin de averas en las puertas lgicas debidas a circuitos abiertos o cortocircuitos, uti- lizando el pulsador y la sonda lgica o el oscilos- copio. PPAALLAABBRRAASS CCLLAAVVEE Inversor Tabla de verdad Diagrama de tiempos lgebra booleana Complemento Puerta AND Habilitar Puerta OR Puerta NAND Puerta NOR Puerta ORexclusiva Puerta NORexclusiva Matriz AND Fusible Antifusible EPROM EEPROM SRAM Dispositivo objetivo JTAG CMOS TTL Tiempo de retardo de propagacin Fanout Carga unidad IINNTTRROODDUUCCCCIINN Este captulo hace nfasis en el funcionamiento lgi- co, las aplicaciones y la localizacin de averas de las puertas lgicas. Se cubre la relacin entre las formas de onda de entrada y de salida de una puerta utilizan- do los diagramas de tiempos. Los smbolos lgicos que se usan para represen- tar las puertas lgicas estn de acuerdo con el estn- dar ANSI/IEEE 911984. Este estndar ha sido adoptado por la industria privada, y la industria mili- tar lo utiliza para su documentacin interna as como para sus publicaciones. En este captulo se aborda tanto la lgica progra- mable como la lgica de funcin fija. Puesto que en todas las aplicaciones se usan los circuitos integra- dos (CI), generalmente, la funcin lgica de un dis- positivo es ms importante para el tcnico que los detalles de operacin del circuito en el nivel de com- ponentes en el interior del CI. Por tanto, la cobertura detallada de los dispositivos en el nivel de compo- nente puede tratarse como un tema opcional. Para aqullos que lo necesiten y tengan tiempo, en el Captulo 14 se cubren las tecnologas de los circuitos integrados digitales, hacindose referencia a partes del mismo a lo largo del texto. Sugerencia: repase la Seccin 1.3 antes de comenzar con este captulo. DDIISSPPOOSSIITTIIVVOOSS LLGGIICCOOSS DDEE FFUUNNCCIINN FFIIJJAA (SERIES CMOS Y TTL) 74XX00 74XX02 74XX04 74XX08 74XX10 74XX11 74XX20 74XX21 74XX27 74XX30 74XX32 74XX86 74XX266 INTRODUCTION 3 PUERTAS LGICAS CCOONNTTEENNIIDDOO DDEELL CCAAPPTTUULLOO 3.1 El inversor 3.2 La puerta AND 3.3 La puerta OR 3.4 La puerta NAND 3.5 La puerta NOR 3.6 Puertas ORexclusiva y NORexclusiva 3.7 Lgica programable 3.8 Lgica de funcin fija 3.9 Localizacin de averas OOBBJJEETTIIVVOOSS DDEELL CCAAPPTTUULLOO Describir el funcionamiento del inversor y de las puertas AND y OR. Describir el funcionamiento de las puertas NAND y NOR. Expresar las operaciones de las puertas NOT, AND, OR, NAND y NOR mediante el lgebra de Boole. Describir el funcionamiento de las puertas OR exclusiva y NORexclusiva. Reconocer y utilizar los smbolos distintivos y los smbolos rectangulares de las puertas lgicas segn el estndar ANSI/IEEE 911984. PPRREEFFAACCIIOO XXII A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XI 14. FFIIGGUURRAA PP..22 Introduccin y revisin de una seccin. EEjjeemmppllooss rreessuueellttooss yy pprroobblleemmaass rreellaacciioonnaaddooss Numerosos ejemplos resueltos ayudan a ilustrar y clarificar los con- ceptos bsicos o procedimientos especficos. Cada ejemplo concluye con un problema relacionado que le refuerza o amplia, que requieren que el estudiante resuelva siguiendo pasos similares a los seguidos en el ejemplo. En la Figura P.3 se muestra una pgina con un ejemplo resuelto tpico y un problema relacionado. SSeecccciinn ddee llooccaalliizzaacciinn ddee aavveerraass Muchos captulos incluyen una seccin dedicada a la localizacin de averas, que hace referencia a los temas cubiertos en el captulo y que se centra en las tcnicas de localizacin de ave- ras y el uso de instrumentos de prueba. En la Figura P.4 se muestra una parte de una seccin tpica sobre la localizacin de averas. AApplliiccaacciinn aa llooss ssiisstteemmaass ddiiggiittaalleess La ltima seccin de la mayor parte de los captulos presenta una aplicacin prctica sobre los conceptos y dispositivos cubiertos en el captulo. Cada una de estas secciones presenta un sistema del mundo real, en el que se implementan las etapas de anlisis, diseo y localizacin de averas uti- lizando los procedimientos vistos en el captulo. Algunas de las aplicaciones a sistemas estn limitadas a un nico captulo, y otras se extienden a lo largo de dos o ms. Las aplicaciones a los sistemas digitales y sus captulos asociados son las siguientes: 1. Determinar la suma (?) y el acarreo de salida (Cout) de un semi-sumador para cada uno de los siguientes grupos de bits de entrada: (a) 01 (b) 00 (c) 10 (d) 11 2. Un sumador completo tiene Cin = 1. Cunto vale la suma () y el acarreo de sali- da (Cout) cuando A = 1 y B = 1? 6.2 SUMADORES BINARIOS EN PARALELO Para formar un sumador binario en paralelo se conectan dos o ms sumadores completos. En esta sec- cin aprenderemos los principios bsicos de este tipo de sumador, de manera que podamos entender todas las funciones necesarias de entrada y salida cuando se trabaja con este tipo de dispositivos. Al finalizar esta seccin, el lector deber ser capaz de: Utilizar sumadores completos para implementar un sumador binario en paralelo. Explicar el proceso de adicin en un sumador binario en paralelo. Emplear la tabla de verdad para un sumador en paralelo de 4 bits. Utilizar dos dispositivos 74LS283 para sumar dos nmeros binarios de 4 bits. Ampliar el sumador de 4 bits para poder realizar adiciones de 8 bits o 16 bits. Como se ha visto en la Seccin 6.1, un nico sumador completo es capaz de sumar dos nmeros binarios de 1 bit y un acarreo de entrada. Para sumar nmeros binarios de ms de un bit, se tienen que utilizar suma- dores completos adicionales. Cuando se suman dos nmeros binarios, cada columna genera un bit de suma y un 1 0, correspondiente al bit de acarreo, que se aade a la columna inmediata de la izquierda, como se muestra a continuacin con dos nmeros de 2 bits. Bit de acarreo de la columna de la derecha 1 11 +01 100 En este caso, el bit de acarreo de la segunda columna se convierte en un bit de suma. Para sumar dos nmeros binarios, se necesita un sumador completo por cada bit que tengan los nmeros que se quieren sumar. As, para nmeros de dos bits se necesitan dos sumadores, para nmeros de cuatro bits hacen falta cuatro sumadores, y as sucesivamente. La salida de acarreo de cada sumador se conecta a la entra- da de acarreo del sumador de orden inmediatamente superior, como se muestra en la Figura 6.7 para un suma- dor de 2 bits. Tngase en cuenta que se puede usar un semi-sumador para la posicin menos significativa, o bien se puede poner a 0 (masa) la entrada de acarreo de un sumador completo, ya que no existe entrada de acarreo en la posicin del bit menos significativo. En la Figura 6.7 los bits menos significativo (LSB) de los dos nmeros se representan como A1 y B1. Los siguientes bits de orden superior se representan como A2 y B2. Los tres bits de suma son 1, 2 y 3. Observe NNOOTTAASS IINNFFOORRMMTTIICCAASS Las computadoras realizan la operacin de suma con dos nmeros a un tiempo, denominados operandos. El operando fuente es un nmero que se aade a un nmero existente denominado operando de destino, que es el que se almacena en un registro de la UAL, tal como el acumulador. A continuacin, la suma de los dos nmeros se almacena de nuevo en el acumulador. La adicin se realiza con nmeros enteros o nmeros en coma flotante utilizando, respectivamente, las instrucciones ADD o FADD. RREEVVIISSIINN DDEE LLAA SSEECCCCIINN 66..11 333322 FFUUNNCCIIOONNEESS DDEE LLAA LLGGIICCAA CCOOMMBBIINNAACCIIOONNAALL XXIIII PPRREEFFAACCIIOO Prrafo de introduccin y lista de los objetivos de la seccin al comienzo de la misma. Ejercicios de revisin al final de cada seccin. Las Notas Informticas se encuentran a lo largo del texto A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XII 15. FFIIGGUURRAA PP..33 Un ejemplo y un problema relacionado. Sistema de control y recuento de pastillas: Captulo 1. Display digital: Captulos 4 y 11. Sistema de control de un tanque de almacenamiento: Captulo 5. Sistema de control de semforos: Captulos 6, 7 y 8. Sistema de seguridad: Captulos 9 y 10. Las aplicaciones a los sistemas digitales pueden tratarse como secciones opcionales, ya que su omisin no afecta al resto del material incluido en el texto. La Figura P.5 muestra una parte de una seccin de Aplicacin a los sistemas digitales. FFiinn ddeell ccaappttuulloo Al final de cada captulo se incluye la siguiente informacin: Resumen Glosario de las palabras clave Autotest encima de la letra. Una variable puede tomar uno de dos valores, 1 0. Si una varia- ble dada es 1, su complemento es 0, y viceversa. El modo de operacin de un inversor (circuito NOT) puede expresarse del siguiente modo: si la variable de entrada se designa por A y la variable de salida por X, entonces Esta expresin establece que la salida es el complemento de la entrada, de modo que si A = 0, entonces X = 1, y si A = 1, entonces X = 0. La Figura 3.6 ilustra esto. La variable complementada se lee A barra o no A. FFIIGGUURRAA 33..66 El inversor complementa una variable de entrada. Aplicacin La Figura 3.7 muestra un circuito que genera el complemento a 1 de un nmero binario de 8 bits. Los bits del nmero binario se aplican a las entradas del inversor y el complemento a 1 se obtiene en las salidas. X = AA A X A== El lgebra boo- leana utiliza variables y operadores para describir un circuito lgico. 112266 PPUUEERRTTAASS LLGGIICCAASS EEJJEEMMPPLLOO 33..11 Al inversor de la Figura 3.4 se le aplica una seal. Determinar la forma de onda de salida correspondien- te a la entrada y dibujar el diagrama de tiempos. De acuerdo con el emplazamiento del crculo cul es el estado activo de salida? FFIIGGUURRAA 33..44 Solucin La forma de onda de salida es exactamente la opuesta a la de entrada (es la entrada invertida), como se muestra en la Figura 3.5, que es el cronograma bsico. El estado activo o verdadero de salida es 0. FFIIGGUURRAA 33..55 Problema relacionado* Si el inversor tiene el indicador negativo (crculo) en la entrada en lugar de en la salida, cmo afecta esto al diagrama de tiempos? * Las respuestas se encuentran al final del captulo. 1 0 Entrada 1 0 Salida 0 Entrada Salida 1 PPRREEFFAACCIIOO XXIIIIII Cada ejemplo queda delimitado mediante un recuadro Cada ejemplo contiene un problema relacionado con el mismo. A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XIII 16. FFIIGGUURRAA PP..44 Pginas representativas de una seccin tpica dedicada a la localizacin de averas. Un conjunto de problemas, que incluye algunas o todas las categoras siguientes: problemas bsicos, problemas sobre localizacin de averas, problemas sobre aplicaciones a sistemas y problemas de diseo. Respuestas a las revisiones de las secciones. Respuestas a los problemas relacionados de los ejemplos. Respuestas al autotest. Al estudiante La tecnologa est de moda! Casi todo se est digitalizando o se digitalizar en un futuro prximo. Por ejem- plo, los telfonos mviles y otros medios de comunicacin inalmbricos, la televisin, la radio, el control de procesos, la electrnica de automocin, la electrnica de consumo, las tcnicas de posicionamiento global, los sistemas militares, por nombre slo unas pocas aplicaciones, dependen enormemente de la electrnica digital. Conocer en profundidad los fundamentos de la tecnologa digital le preparar para poder acceder en un futuro a trabajos bien remunerados y de alta capacitacin. Lo ms importante que puede tratar de hacer el lec- tor es comprender los fundamentos bsicos. Habindolos dominado, tendr en sus manos hacer lo que desee. Adems, la lgica programable est adquiriendo una importancia extraordinaria en el panorama tecnol- gico actual y ese es uno de los temas fundamentales cubiertos en el libro. Por supuesto, las habilidades nece- sarias para un diagnstico eficiente tambin son altamente demandadas. El libro incluye, por ello, mtodos de FFIIGGUURRAA 33..6688 Localizacin de averas en una puerta NAND con una entrada en circuito abierto. El primer paso en la localizacin de averas de un CI, cuando se sospecha que est fallando, es asegurar- se de que la tensin de alimentacin continua (VCC) y la masa estn conectadas a los pines apropiados del CI. Despus, se aplican impulsos continuos a una de las entradas de la puerta, asegurndose de que las otras entra- das estn a nivel ALTO (en el caso de una puerta NAND). En la Figura 3.68(a), se comienza a aplicar los impulsos en el pin 13, ya que se ha determinado que es una de las entradas de la puerta de la que se sospecha el fallo. Si en la salida correspondiente (en este caso el pin 11) se detecta un tren de impulsos, entonces el pin 13 de entrada no est en abierto. Consecuentemente, esto prueba tambin que la salida no est en abierto. A continuacin, se aplica otro tren de impulsos a otra entrada de la puerta (pin 12), asegurndose de que la otra entrada est a nivel ALTO. En la salida (en el pin 11) no se detecta un tren de impulsos y la salida est a nivel BAJO, lo que indica que la entrada del pin 12 est en abierto, como se muestra en la Figura 3.68(b). Observe que la entrada en la que no se aplican impulsos debe estar a nivel ALTO en el caso de una puerta NAND o +VCC GND +VCC GND (a) El pin 13 de entrada y el pin 11 de salida estn bien. (b) El pin 12 de entrada est en abierto. Sonda del osciloscopio Sonda del osciloscopioSonda del osciloscopio Help 7 8 9 4 5 6 1 2 3 0 . +/ Arb Utility Noise Store/ Recall Pulse Burst Tri Sweep Square Mod Sine Trigger Output OutputSync Graph Local Function Generator Period Freq HiLevel Amp LoLevel Offset HARDCOPY HORIZONTALVERTICAL TRIGGER LEVEL TRIGGER MENU SET LEVEL TO 50% FORCE TRIGGER CURSOR DISPLAYUTILITY MEASURE ACQUIRESAVE/RECALL AUTOSET RUN/STOP POSITION HORIZONTAL MENU SEC/DIV 5 s 5 ns HOLDOFF POSITION VOLTS/DIV CURSOR 2 CH 2 MENU 5 V 2 mV POSITION VOLTS/DIV CURSOR 1 CH 1 MENU 5 V 2 mV MATH MENU CH 1 CH 2 EXT TRIGPROBE COMP 5 V MENUS TRIGGER VIEW Sonda del osciloscopio Del generador de funciones Del generador de funciones ALTO ALTO LLOOCCAALLIIZZAACCIINN DDEE AAVVEERRAASS 117755 3.9 LOCALIZACIN DE AVERAS La localizacin de averas es el proceso de reconocer, aislar y corregir un fallo en un sistema o circui- to. Para poder localizar las averas de forma efectiva, debe entender cmo se supone que trabaja el cir- cuito o sistema y debe estar en disposicin de reconocer un funcionamiento incorrecto. Por ejemplo, para determinar si una puerta lgica tiene un fallo, debe saber cul debe ser la salida para unas entra- das dadas. Al finalizar esta seccin, el lector deber ser capaz de: Comprobar la existencia de entradas y salidas abiertas internamente en las puertas lgicas de los CI. Reconocer los efectos de una entrada o una salida del CI cortocircuitada. Detectar en una tarjeta de circuito impreso la existencia de fallos externos. Localizar las averas en un sencillo contador de frecuencia utilizando un osciloscopio. Fallos internos en las puertas lgicas de los CI Los circuitos abiertos y los cortocircuitos son los fallos ms comunes en las puertas internas del CI. Se pue- den producir tanto en las entradas como en la salida de una puerta contenida en el encapsulado del CI. Antes de intentar solucionar cualquier avera, compruebe que la alimentacin continua y la masa son correctas. EEffeeccttooss ddee uunnaa eennttrraaddaa qquuee ssee eennccuueennttrraa eenn cciirrccuuiittoo aabbiieerrttoo iinntteerrnnaammeennttee. Un circuito abierto interno es el resultado de un componente en circuito abierto o de una ruptura en la conexin entre el chip y el pin del encapsulado. Una entrada en circuito abierto impide que una seal de impulsos en esta entrada d lugar a una salida, como se muestra en la Figura 3.67(a) para la puerta NAND de 2 entradas. Una entrada TTL en abierto acta co- mo un nivel ALTO, por lo que los impulsos aplicados a la entrada que est en buen estado pasan a travs de la puerta NAND hasta la salida, como se muestra en la Figura 3.67(b). CCoonnddiicciioonneess ppaarraa pprroobbaarr llaass ppuueerrttaass. Al probar una puerta NAND o una puerta AND, debe asegurarse siempre de que las entradas a las que no se aplican impulsos se encuentren a nivel ALTO, para activar la puerta. Cuando pruebe una puerta NOR o una puerta OR, debe asegurarse siempre de que las entradas a las que no se aplican impulsos se encuentran a nivel BAJO. Cuando se prueba una puerta XOR o XNOR, el nivel de la entrada a la que no se aplican impulsos no importa, ya que los impulsos aplicados en la otra entrada forzarn a que las entradas se encuentren, alternativamente, en el mismo nivel o en niveles opuestos. LLooccaalliizzaacciinn ddee ffaalllloo:: eennttrraaddaa eenn cciirrccuuiittoo aabbiieerrttoo. La localizacin de este tipo de fallo es, en la mayora de los casos, muy fcil utilizando un osciloscopio y un generador de funciones, como se muestra en la Figura 3.68, para el caso de una puerta NAND de 2 entradas. Al medir las seales digitales con un osciloscopio, emplee siempre el acoplamiento en continua. FFIIGGUURRAA 33..6677 Efecto de una entrada en circuito abierto en una puerta NAND. ALTO Entrada en abierto No hay impulsos (a) Entrada en abierto (b) Si se aplican impulsos en la entrada que est bien, se generarn impulsos a la salida en las puertas TTL NAND y AND, debido a que la entrada en abierto acta como un nivel ALTO. Esto no se cumple para CMOS. . Si se aplican impulsos en una entrada en abierto no se generan impulsos a la salida. 117744 PPUUEERRTTAASS LLGGIICCAASS XXIIVV PPRREEFFAACCIIOO A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XIV 17. FFIIGGUURRAA PP..55 Pginas representativas de una seccin tpica dedicada a las aplicaciones de sistemas digitales. prueba y localizacin de averas que van desde las pruebas tradicionales a las tcnicas utilizadas en los pro- cesos de fabricacin, como la de cama de pinchos, la de sonda volante y la de exploracin de contorno. Estos son algunos ejemplos de los conocimientos que podr adquirir si se aplica con decisin al aprendizaje de los conceptos presentados. Agradecimientos Este innovador texto es el resultado de los esfuerzos y las habilidades de muchas personas. Creo que hemos conseguido lo que pretendamos hacer, que era escribir un libro de texto de excelente calidad. En Prentice Hall, Kate Linsner y Rex Davidson han aportado su tiempo, talento y esfuerzo a lo largo de las muchas fases de este proyecto con la finalidad de obtener un libro como el que el lector tiene en sus manos. Lois Porter ha hecho un fantstico trabajo de edicin del manuscrito. Ha sido capaz de desvelar los misterios de las marcas de este autor y con frecuencia sus prcticamente ilegibles anotaciones y, a partir de un desorden completo, extraer un manuscrito increblemente organizado y estupendamente editado. Tambin Jane Lopez ha hecho un estupendo trabajo con las imgenes. Otra persona que ha contribuido significativamente a este libro es Gary Snyder, quien ha proporcionado todos los archivos de los circuitos Multisim (en Multisim Versions 2001, 7 y 8, los cuales se incluyen en el sitio web de acompaamiento www.librosite.net/floyd). Quiero dar las gracias tambin a todas aquellas personas que de alguna manera, aunque sea de forma indirecta, han colaborado en este proyecto. Para la revisin de ste y de todos los libros de texto, los autores dependemos de las inteligentes observa- ciones de los lectores y del equipo de revisin. Quiero dar mis ms sinceras gracias a los siguientes revisores, das adecuadas en las luces (decodificacin de estados y lgica de salida), y para iniciar los intervalos de tiempo largo y corto. Finalmente, se necesita un circuito de inter- faz para convertir los niveles lgicos de la decodificacin y del circuito de salida en las tensiones y corrientes reque- ridas para encender cada una de las luces. La Figura 6.67 representa un diagrama de bloques ms detallado que muestra estos elementos esenciales. El diagrama de estados Un diagrama de estados nos muestra grficamente la secuencia de estados en un sistema y las condiciones de cada estado y de las transiciones entre cada uno de ellos. En realidad, la Figura 6.65 es, en cierta medida, un diagra- ma de estados, ya que muestra la secuencia de estados y las distintas condiciones. Definicin de las variables. Antes de poder desarrollar un diagrama de estados tradicional, es necesario definir las variables que determinan cmo pasa el sistema a travs de los diferentes estados. A continuacin se enumeran estas variables y sus smbolos: Presencia de vehculos en la calle lateral = Vs El temporizador de 25 s. (largo) est activado = TL El temporizador de 4 s. (corto) est activado = TS El uso de variables complementadas indica la condi- cin contraria. Por ejemplo, indica que no hay ningnVs AAPPLLIICCAACCIINN AA LLOOSS SSIISSTTEEMMAASS DDIIGGIITTAALLEESS 338877 APLICACIN A LOS SISTEMAS DIGITALES En esta aplicacin, vamos a comenzar a trabajar con el sis- tema de control de semforos. En esta seccin se estable- cen los requisitos del sistema, se desarrolla un diagrama de bloques, as como un diagrama de estados para ayudar a establecer la secuencia de funcionamiento. Disearemos la parte del sistema que involucra lgica combinacional y se propondrn los mtodos de prueba. En los Captulos 7 y 8 se tratarn los circuitos de lgica secuencial y de tempori- zacin del sistema. Requerimientos generales del sistema Se requiere un controlador digital para controlar un sem- foro en la interseccin de una calle de trfico muy denso con una calle de trfico moderado. La calle principal va a tener una luz verde durante un mnimo de 25 seg. o mien- tras no haya ningn vehculo en la calle perpendicular. 338866 FFUUNNCCIIOONNEESS DDEE LLAA LLGGIICCAA CCOOMMBBIINNAACCIIOONNAALL Esta calle lateral tiene que tener la luz verde hasta que no circule ningn coche por ella, o durante un mximo de 25 seg. La luz mbar de precaucin tiene que durar 4 seg. en los cambios de luz verde a roja en ambas calles, principal y lateral. Estos requisitos se muestran en el diagrama de la Figura 6.65. Desarrollo de un diagrama de bloques del sistema A partir de los requisitos, se puede desarrollar un diagrama de bloques del sistema. En primer lugar, sabemos que el sistema tiene que controlar seis pares de luces diferentes. Estas son las luces roja, mbar y verde para ambos senti- dos, tanto en la calle principal como en la lateral. Tambin sabemos que existe una entrada externa (adems de la ali- mentacin) que proviene de un sensor de vehculos situa- do en la calle lateral. En la Figura 6.66, puede ver un dia- grama de bloques mnimo que ilustra estos requisitos. A partir del diagrama de bloques mnimo vamos a ir entrando en los detalles. El sistema tiene cuatro estados, como se indica en la Figura 6.65, por lo que se necesita un circuito lgico para controlar la secuencia de estados (lgi- ca secuencial). Adems, se necesitan circuitos para generar los intervalos de tiempo adecuados de 25 seg. y 4 seg., que se requieren en el sistema y para generar una seal de reloj cclica en el sistema (circuitos de temporizacin). Los intervalos de tiempo (largo y corto) y el sensor de vehcu- los son entradas de la lgica secuencial, dado que la secuenciacin de estados es una funcin de estas variables. Se necesitan tambin circuitos lgicos para determinar cul de los cuatro estados del sistema est activo en un determinado instante de tiempo, para as generar las sali- Principal Secundaria Primer estado: 25 segundos como mnimo o mientras que no haya vehculos en la calle secundaria. Segundo estado: 4 segundos. Tercer estado: 25 segundos como mximo o hasta que no haya ningn vehculo en la calle secundaria. Cuarto estado: 4 segundos. Principal Secundaria Principal Secundaria Principal Secundaria FFIIGGUURRAA 66..6655 Requisitos para la secuencia de luces de los semforos. vehculo en la calle lateral; indica que el temporizador de larga duracin est desactivado y indica que el tem- porizador de corta duracin est desactivado. Descripcin del diagrama de estados. En la Figura 6.68 se muestra un diagrama de estados. Cada uno de los cuatro estados se etiqueta de acuerdo a la secuencia de 2 bits en cdigo Gray, como se indica mediante los crculos. La fle- cha circular en cada estado indica que el sistema permane- ce en dicho estado bajo la condicin definida por la varia- ble o expresin asociada. Cada una de las flechas que van de un estado al siguiente indican un cambio de estado cuando se produce la condicin definida por la variable o expresin asociada. Primer estado El cdigo Gray para este estado es 00. El semforo de la calle principal est en verde y el de la calle lateral est en rojo. El sistema permanece en este estado al menos 25 segundos cuando el temporizador largo se encuentra activado o mientras que no haya ningn vehcu- lo en la calle lateral El sistema pasa al siguien- te estado cuando el temporizador de 25 segundos est des- activado o cuando aparece algn vehculo en la calle secundaria Segundo estado El cdigo Gray para este estado e 01. El semforo de la calle principal est en mbar (precaucin) y el de la calle lateral est en rojo. El sistema permanece en este estado durante 4 segundos mientras el temporiza- dor corto est activado (TS) y pasa al siguiente estado cuan- do este temporizador se desactiva ( ).TS ( ).T VL s ( ).T VL s++ TS TL FFIIGGUURRAA 66..6666 Diagrama de bloques mnimo del sistema. Semforo y unidad de interfaz Rojo mbar Verde Principal Rojo mbar Verde Secundaria Lgica de control de los semforos Sensor de vehculos PPRREEFFAACCIIOO XXVV A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XV 18. que han proporcionado multitud de sugerencias y han hecho una crtica enormemente constructiva: Bo Barry, Universidad de Carolina del Norte; Chuck McGlumphy, Belmont Tecnical College; y Amy Ray, Mitchell Community College. Mi gratitud a David Buchla por sus esfuerzos a la hora de garantizar que el manual de laboratorio estuvie- ra coordinado con el texto, as como por sus valiosas sugerencias. Tambin quiero agradecer las sugerencias de Muhammed Arif Shabir en lo que respecta a los registros de desplazamiento. Gracias a todos los miembros del equipo comercial de Prentice Hall, cuyo enorme trabajo ha ayudado a que mis libros estuvieran a disposicin de un gran nmero de estudiantes en todo el mundo. Adems, mi reco- nocimiento a todos vosotros, los profesores que habis adoptado esta obra como libro de texto. Sin vosotros, esta obra no existira. Espero que el lector encuentre en esta obra una valiosa herramienta de aprendizaje y un til texto de referencia. Tom Floyd XXVVII PPRREEFFAACCIIOO A2_PREFACIO.qxd 26/05/2006 12:13 Pgina XVI 19. FUNDAMENTOS DE SISTEMAS DIGITALES A2_PREFACIO.qxd 26/05/2006 12:13 Pgina 1 20. 1 CONCEPTOS DIGITALES CCOONNTTEENNIIDDOO DDEELL CCAAPPTTUULLOO 1.1 Magnitudes analgicas y digitales 1.2 Dgitos binarios, niveles lgicos y formas de onda digitales 1.3 Operaciones lgicas bsicas 1.4 Introduccin a las funciones lgicas bsicas 1.5 Circuitos integrados de funcin fija 1.6 Introduccin a la lgica programable 1.7 Instrumentos de medida y prueba Aplicacin a los sistemas digitales OOBBJJEETTIIVVOOSS DDEELL CCAAPPTTUULLOO Explicar las diferencias bsicas entre las magni- tudes digitales y analgicas. Mostrar cmo se usan los niveles de tensin para representar magnitudes digitales. Describir los diferentes parmetros de los trenes de impulsos, tales como el tiempo de subida, el tiempo de bajada, el ancho del impulso, la fre- cuencia, el perodo y el ciclo de trabajo. Explicar las operaciones lgicas bsicas NOT, AND y OR Describir las funciones lgicas del comparador, el sumador, el convertidor de cdigo, el codifica- dor, decodificador, multiplexor, demultiplexor, contador y registro. CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 2 21. Identificar los circuitos integrados digitales de funcin fija de acuerdo con su complejidad y el tipo de encapsulado. Identificar la numeracin de los pines en los encapsulados de los circuitos integrados. Explicar qu es la lgica programable, especifi- cando los distintos tipos y describiendo cmo se programan los PLD. Reconocer los instrumentos y comprender cmo se usan para medir y solucionar las averas en los sistemas y circuitos digitales. Mostrar en una aplicacin prctica cmo se forma un sistema digital completo combinando las funciones bsicas. PPAALLAABBRRAASS CCLLAAVVEE Las palabras clave estn ordenadas de acuerdo con el orden de aparicin a lo largo del captulo. Analgico Digital Binario Bit Impulso Reloj Diagrama de tiempos Datos Serie Paralelo Lgica Entrada Salida Puerta NOT Inversor AND OR Circuito integrado (CI) SPLD CPLD FPGA Compilador Solucin de averas IINNTTRROODDUUCCCCIINN El trmino digital se deriva de la forma en que las computadoras realizan las operaciones contando dgitos. Durante muchos aos, las aplicaciones de la electrnica digital se limitaron a los sistemas infor- mticos. Hoy da, la tecnologa digital tiene aplica- cin en un amplio rango de reas adems de la infor- mtica. Aplicaciones como la televisin, los sistemas de comunicaciones, de radar, sistemas de navegacin y guiado, sistemas militares, instrumentacin mdi- ca, control de procesos industriales y electrnica de consumo, usan todos ellos tcnicas digitales. A lo largo de los aos, la tecnologa digital ha progresado desde los circuitos de vlvulas de vaco hasta los transistores discretos y los circuitos integrados, con- teniendo algunos de ellos millones de transistores. Este captulo presenta la electrnica digital y pro- porciona una extensa introduccin a muchos concep- tos, componentes y herramientas muy importantes. PPRREESSEENNTTAACCIINN DDEE LLAA AAPPLLIICCAACCIINN AA LLOOSS SSIISSTTEEMMAASS DDIIGGIITTAALLEESS La ltima seccin de la mayor parte de los captulos de este libro se dedica a una aplicacin, que resume los principales aspectos abordados en el captulo. Cada sistema est diseado para ilustrar, en cada captulo, cmo pueden utilizarse la teora y los dis- positivos. A lo largo del libro, se presentan cinco sis- temas diferentes, abarcando algunos de ellos dos o ms captulos. Todos los sistemas se han simplificado para hacerlos manejables dentro del contexto del tema del captulo. Aunque estn basados en los requisitos rea- les del sistema, estn diseados para adecuarse al contenido del captulo y no pretenden representar el mtodo ms eficiente o ms moderno para dicha aplicacin. En este captulo se presenta el primer sistema, que es un sistema de control industrial para contar y controlar los objetos de envasado que se encuentran sobre una cinta transportadora. Est diseado para incorporar todas las funciones lgicas presentadas en el captulo, de forma que se pueda ver cmo se utili- zan y cmo interaccionan para conseguir un objetivo de utilidad. CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 3 22. 1.1 MAGNITUDES ANALGICAS Y DIGITALES Los circuitos electrnicos pueden dividirse en dos amplias categoras: digitales y analgicos. La elec- trnica digital utiliza magnitudes con valores discretos y la electrnica analgica emplea magnitudes con valores continuos. Aunque en este libro vamos a estudiar los fundamentos digitales, tambin debe- mos conocer los analgicos porque muchas aplicaciones requieren la utilizacin de ambos. Al finalizar esta seccin el lector deber ser capaz de: Definir el trmino analgico. Definir el trmino digital. Explicar las diferencias entre magni- tudes digitales y analgicas. Establecer las ventajas de digital frente a analgico. Proporcionar ejemplos de cmo se utilizan en electrnica las magnitudes digitales y analgicas. Una magnitud analgica* es aquella que toma valores continuos. Una magnitud digital es aquella que toma un conjunto de valores discretos. La mayora de las cosas que se pueden medir cuantitativamente apa- recen en la naturaleza en forma analgica. Por ejemplo, la temperatura vara dentro de un rango continuo de valores. A lo largo de un da, la temperatura no vara por ejemplo entre 20C y 25C de forma instantnea, sino que alcanza todos los infinitos valores que hay en ese intervalo. Si dibujamos la grfica de la temperatura de un da tpico de verano , tendramos una curva continua suave como la mostrada en Figura 1.1. Otros ejem- plo de magnitudes analgicas son el tiempo, la presin, la distancia y el sonido. FFIIGGUURRAA 11..11 Grfica de una magnitud analgica (temperatura en funcin del tiempo). En lugar de hacer una grfica de temperatura en un rango continuo, supongamos que simplemente medi- mos la temperatura cada hora. Lo que tenemos ahora son muestras que representan la temperatura en instan- tes discretos de tiempo (cada hora) a lo largo de un perodo de 24 horas, como se indica en la Figura 1.2. De esta forma, hemos convertido de forma efectiva una magnitud analgica a un formato que ahora puede digi- talizarse, representando cada valor muestreado mediante un cdigo digital. Es importante darse cuenta de que la Figura 1.2 no es la representacin digital de la magnitud analgica. LLaa vveennttaajjaa ddee llaass mmaaggnniittuuddeess ddiiggiittaalleess.. En las aplicaciones de electrnica, la representacin digital presenta cier- tas ventajas sobre la representacin analgica. La principal ventaja es que los datos digitales puede ser proce- 1 26 A.M. 25 24 23 22 21 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 P.M. Temperatura 20 Hora del da (C) 44 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS * Todos los trminos en negrita son importantes y estn definidos en el glosario al final del libro. Todos los trminos en negrita y cursi- va estn incluidos en el glosario Palabras clave al final de cada captulo. CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 4 23. sados y transmitidos de forma ms fiable y eficiente que los datos analgicos. Tambin, los datos digitales dis- frutan de una ventaja importante cuando es necesario su almacenamiento. Por ejemplo, cuando la msica se convierte a formato digital puede almacenarse de manera ms compacta y reproducirse con mayor precisin y claridad de lo que es posible en formato analgico. El ruido (fluctuaciones de tensin no deseadas) no afec- ta a los datos digitales tanto como a las seales analgicas. FFIIGGUURRAA 11..22 Representacin de los valores muestreados (cuantificacin) de la magnitud analgica de la Figura 1.1. Cada valor representado por un punto puede digitalizarse, representndolo como un cdigo digital que consta de una serie de 1s y 0s. Un sistema electrnico analgico Un sistema de altavoz, que se emplea para amplificar el sonido de modo que pueda ser escuchado por una gran audiencia, es un ejemplo de una aplicacin de electrnica digital. El diagrama bsico de la Figura 1.3 ilustra cmo estas ondas sonoras, que son analgicas por naturaleza, son captadas por un micrfono y conver- tidas en una pequea variacin analgica de tensin denominada seal de audio. Esta tensin vara de forma continua a medida que el volumen y la frecuencia del sonido varan, y se aplica a la entrada de un amplifica- dor lineal. La salida del amplificador, que es una reproduccin amplificada de la tensin de entrada, se apli- ca al altavoz. El altavoz convierte de nuevo la seal de audio amplificada en ondas sonoras con un volumen mucho mayor que el sonido original captado por el micrfono. FFIIGGUURRAA 11..33 Sistema bsico de altavoz. Seal de audio Seal de audio amplificada Altavoz Micrfono Ondas sonoras reproducidas Amplificador lineal Ondas sonoras originales 1 26 A.M. 25 24 23 22 21 20 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 P.M. Hora del da Temperatura (C) MMAAGGNNIITTUUDDEESS AANNAALLGGIICCAASS YY DDIIGGIITTAALLEESS 55 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 5 24. Sistema que utiliza mtodos digital y analgico Un reproductor de CD es un ejemplo de un sistema en que se emplean tanto circuitos digitales como analgi- cos. El diagrama de bloques simplificado de la Figura 1.4 ilustra el principio bsico. La msica en formato digital se almacena en el CD. Un sistema ptico de diodos lser lee los datos digitales del disco cuando ste gira y los transfiere al convertidor digital-analgico (DAC, Digital-to-Analog Converter). El DAC transfor- ma los datos digitales en una seal analgica que es una reproduccin elctrica de la msica original. Esta seal se amplifica y se enva al altavoz para que podamos disfrutarla. Cuando la msica original se grab en el CD se utiliz el proceso inverso del descrito aqu, y que utilizaba un convertidor analgico-digital (ADC, Analog-to-Digital Converter). FFIIGGUURRAA 11..44 Esquema bsico de bloques de un reproductor de CD. Slo se muestra un canal. 1. Definir analgico. 2. Definir digital. 3. Explicar la diferencia entre una magnitud digital y una magnitud analgica. 4. Proporcionar un ejemplo de un sistema que sea analgico y de otro que sea una combinacin de digital y analgico. Nombrar un sistema que sea por completo digital. 1.2 DGITOS BINARIOS, NIVELES LGICOS Y FORMAS DE ONDA DIGITALES La electrnica digital utiliza sistemas y circuitos en los que slo existen dos estados posibles. Estos estados se representan mediante dos niveles de tensin diferentes: ALTO (HIGH) y BAJO (LOW). Estos dos estados tambin pueden representarse mediante niveles de corriente, bits y relieves en un CD o en un DVD, etc. En los sistemas digitales como las computadoras, las combinaciones de los dos esta- dos, denominadas cdigos, se emplean para representar nmeros, smbolos, caracteres alfabticos y otros tipos de datos. El sistema de numeracin de dos estados se denomina binario y los dos dgitos que emplea son 0 y 1. Un dgito binario se denomina bit. Al finalizar esta seccin, el lector deber ser capaz de: Definir binario. Definir bit. Nombrar los bits en un sistema binario. Explicar cmo se em- plean los niveles de tensin para representar bits. Explicar cmo un circuito digital interpreta los RREEVVIISSIINN DDEE LLAA SSEECCCCIINN 11..11 Las respuestas se encuentran al final del captulo. Datos digitales Reproductor de CD 10110011101 Reproduccin analgica de la seal de audio Altavoz Ondas sonoras Convertidor digital-analgico Amplificador lineal 66 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 6 25. niveles de tensin. Describir las caractersticas generales de un impulso. Determinar la amplitud, el tiempo de subida, el tiempo de bajada y el ancho de un impulso. Identificar y describir las carac- tersticas de una forma de onda digital. Determinar la amplitud, el perodo, la frecuencia y el ciclo de trabajo de una forma de onda digital. Explicar qu es un diagrama de tiempos y establecer su finalidad. Explicar la transferencia de datos paralelo y serie, y las ventajas y desventajas de cada una de ellas. NNOOTTAASS IINNFFOORRMMTTIICCAASS El concepto de computadora digital se remonta a Charles Babbage, quien desarroll un rudimentario disposi- tivo de clculo mecnico en 1830. John Atanasoff fue el primero que aplic el procesamiento electrnico a la computacin digital en 1939. En 1946, se implement con vlvulas de vaco una computadora digital electr- nica denominada ENIAC. Aunque ocupaba una habitacin entera, ENIAC no tena ni siquiera la potencia de clculo de una calculadora de bolsillo actual. Dgitos binarios Cada uno de los dos dgitos del sistema binario, 1 y 0, se denomina bit, que es la contraccin de las palabras binary digit (dgito binario). En los circuitos digitales se emplean dos niveles de tensin diferentes para repre- sentar los dos bits. Por lo general, el 1 se representa mediante el nivel de tensin ms elevado, que se deno- mina nivel ALTO (HIGH) y 0 se representa mediante el nivel de tensin ms bajo, que se denomina nivel BAJO (LOW). Este convenio recibe el nombre de lgica positiva y es el que se va a emplear a lo largo del libro. ALTO (HIGH) = 1 y BAJO (LOW) = 0 Un sistema en el que un 1 se representa por un nivel BAJO y un 0 mediante un nivel ALTO se dice que emplea lgica negativa. Los grupos de bits (combinaciones de 1s y 0s), llamados cdigos, se utilizan para representar nmeros, letras, smbolos, instrucciones y cualquier otra cosa que se requiera en una determinada aplicacin. Niveles lgicos Las tensiones empleadas para representar un 1 y un 0 se denominan niveles lgicos. En el caso ideal, un nivel de tensin representa un nivel ALTO y otro nivel de tensin representa un nivel BAJO. Sin embargo, en un circuito digital real, un nivel ALTO puede ser cualquier tensin entre un valor mnimo y un valor mximo especificados. Del mismo modo, un nivel BAJO puede ser cualquier tensin comprendida entre un mnimo y mximo especificados. No puede existir solapamiento entre el rango aceptado de niveles ALTO y el rango aceptado de niveles BAJO. La Figura 1.5 ilustra el rango general de los niveles BAJO y ALTO aceptables para un circuito digital. La variable VH(mx) representa el valor mximo de tensin para el nivel ALTO y VH(mn) representa el valor de ten- sin mnimo para el nivel ALTO. El valor mximo de tensin para el nivel BAJO se representa mediante VL(mx) y el valor mnimo de tensin para el nivel BAJO mediante VL(mn). Los valores de tensin comprendi- dos entre VL(mx) y VH(mn) no son aceptables para un funcionamiento correcto. Una tensin en el rango no per- mitido puede ser interpretada por un determinado circuito tanto como un nivel ALTO cuanto como un nivel BAJO, por lo que no puede tomarse como un valor aceptable. Por ejemplo, los valores para el nivel ALTO en un determinado tipo de circuito digital denominado CMOS pueden variar en el rango de 2 V a 3,3 V y los valores para el nivel BAJO en el rango de 0 V a 0,8 V. De esta manera, si por ejemplo se aplica una tensin de 2,5 V, el circuito lo aceptar como un nivel ALTO, es decir, un 1 binario. Si se aplica una tensin de 0,5 V, el circuito lo aceptar como un nivel BAJO, es decir, un 0 binario. En este tipo de circuito, las tensiones com- prendidas entre 0,8 V y 2 V no son aceptables. DDGGIITTOOSS BBIINNAARRIIOOSS,, NNIIVVEELLEESS LLGGIICCOOSS YY FFOORRMMAASS DDEE OONNDDAA DDIIGGIITTAALLEESS 77 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 7 26. FFIIGGUURRAA 11..55 Rango de niveles lgicos de tensin para un circuito digital. Formas de onda digitales Las formas de onda digitales consisten en niveles de tensin que varan entre los estados o niveles ALTO y BAJO. La Figura 1.6(a) muestra que un impulso positivo se genera cuando la tensin (o la intensidad) pasa de su nivel normalmente BAJO hasta su nivel ALTO y luego vuelve otra vez a su nivel BAJO. El impulso negativo de la Figura 1.6(b) se genera cuando la tensin pasa de su nivel normalmente ALTO a su nivel BAJO y vuelve a su nivel ALTO. Una seal digital est formada por una serie de impulsos. EEll iimmppuullssoo.. Como se muestra en la Figura 1.6, un impulso tiene dos flancos: un flanco anterior que se pro- duce en el instante t0 y un flanco posterior que se produce en el instante posterior t1. Para un impulso positi- vo, el flanco anterior es un flanco de subida y el flanco posterior es de bajada. Los impulsos mostrados en la Figura 1.6 son ideales porque se supone que los flancos de subida y de bajada ocurren en un tiempo cero (ins- tantneamente). En la prctica, estas transiciones no suceden de forma instantnea, aunque para la mayora de las situaciones digitales podemos suponer que son impulsos ideales. La Figura 1.7 muestra un impulso real (no ideal). En la prctica, todos los impulsos presentan alguna o todas de las caractersticas siguientes. En ocasiones, se producen picos de tensin y rizado debidos a los efec- tos capacitivos e inductivos parsitos. La cada puede ser provocada por las capacidades parsitas y la resis- tencia del circuito que forman un circuito RC con una constante de tiempo baja. El tiempo requerido para que un impulso pase desde su nivel BAJO hasta su nivel ALTO se denomina tiempo de subida (tr), y el tiempo requerido para la transicin del nivel ALTO al nivel BAJO se denomi- na tiempo de bajada (tf). En la prctica, el tiempo de subida se mide como el tiempo que tarda en pasar del 10% (altura respecto de la lnea) al 90% de la amplitud del impulso y el tiempo de bajada se mide como el tiempo que tarda en pasar del 90% al 10% de la amplitud del impulso, como se puede ver en la Figura 1.7. La FFIIGGUURRAA 11..66 Impulsos ideales. ALTO BAJO ALTO Flanco anterior o de subida BAJO t0 t1 t0 t1 (a) Impulso positivo (b) Impulso negativo Flanco posterior o de subidaFlanco anterior o de bajada Flanco posterior o de bajada ALTO (1 binario) BAJO (0 binario) VH(mx) VH(mn) VL(mx) VL(mn) Inaceptable 88 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 8 27. FFIIGGUURRAA 11..77 Caractersticas de los impulsos no ideales. razn de que el 10% inferior y el 10% superior no se incluyan en los tiempos de subida y de bajada se debe a la no linealidad de la seal en esas reas. El ancho del impulso (tW) es una medida de la duracin del impul- so y, a menudo, se define como el intervalo de tiempo que transcurre entre los puntos en que la amplitud es del 50% en los flancos de subida y de bajada, como se indica en la Figura 1.7. CCaarraacctteerrssttiiccaass ddee llaa ffoorrmmaa ddee oonnddaa.. La mayora de las formas de onda que se pueden encontrar en los sistemas digitales estn formadas por series de impulsos, algunas veces denominados tambin trenes de impulsos, y pueden clasificarse en peridicas y no peridicas. Un tren de impulsos peridico es aquel que se repite a inter- valos de tiempo fijos; este intervalo de tiempo fijo se denomina perodo (T). La frecuencia (f) es la veloci- dad a la que se repite y se mide en hercios (Hz). Por supuesto, un tren de impulsos no peridico no se repite a intervalos de tiempo fijos y puede estar formado por impulsos de distintos anchos y/o impulsos que tienen intervalos distintos de tiempo entre los pulsos. En la Figura 1.8 se muestra un ejemplo de cada tipo. FFIIGGUURRAA 11..88 Ejemplos de formas de onda digitales. La frecuencia (f) de un tren de pulsos (digital) es el inverso del perodo. La relacin entre la frecuencia y el perodo se expresa como sigue: Ecuacin 1.1 f T = 1 T1 Perodo = T1 = T2 = T3 = . . . = Tn T2 T3 Frecuencia = 1 T (a) Peridico (onda cuadrada) (b) No peridico 90% 50% 10% Lnea base Ancho del impulso Tiempo de subida Tiempo de bajada Amplitud tW tr tf Subimpulso Rizado Sobreimpulso Rizado Cada DDGGIITTOOSS BBIINNAARRIIOOSS,, NNIIVVEELLEESS LLGGIICCOOSS YY FFOORRMMAASS DDEE OONNDDAA DDIIGGIITTAALLEESS 99 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 9 28. Ecuacin 1.2 Una caracterstica importante de una seal digital peridica es su ciclo de trabajo, que es el cociente entre el ancho del impulso (tW) y el perodo (T) y puede expresarse como un porcentaje. Ecuacin 1.3 Una seal digital contiene informacin binaria La informacin binaria que manejan los sistemas digitales aparece en forma de seales que representan secuencias de bits. Cuando la seal est a nivel ALTO, quiere decir que est presente un 1 binario; cuando la seal est a nivel BAJO, lo indica un 0 binario. Cada bit dentro de una secuencia ocupa un intervalo de tiem- po definido, denominado perodo de bit. Ciclo de trabajo = t T W 100% T f = 1 1100 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS EEJJEEMMPPLLOO 11..11 En la Figura 1.9 se muestra una parte de una seal digital peridica. Las medidas estn expresadas en milisegundos. Determinar: (a) perodo (b) frecuencia (c) ciclo de trabajo FFIIGGUURRAA 11..99 Solucin (a) El perodo se mide desde el flanco de uno de los impulsos hasta el correspon- diente flanco del siguiente impulso. En este caso, T se mide desde el flanco de subida hasta el siguiente flanco de subida, como se indica en la figura, luego T es igual a 10 ms. (b) (c) Problema relacionado* Una seal digital peridica tiene un ancho de impulso de 25 ms y un perodo de 150 s. Determinar la frecuencia y el ciclo de trabajo. * Las respuestas se encuentran al final del captulo. Ciclo de trabajo ms 10ms = = = t T W 100 1 100% % %10 f T = = = 1 1 10ms 100 Hz 0 1 10 11 t (ms) T tW CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 10 29. NNOOTTAASS IINNFFOORRMMTTIICCAASS La velocidad a la que una computadora puede funcionar depende del tipo de microprocesador utilizado en el sistema. La especificacin de velocidad, por ejemplo 3,5 GHz, de una computadora es la frecuencia mxima de reloj a la que el microprocesador puede trabajar. EEll rreelloojj. En los sistemas digitales, todas las seales estn sincronizadas con una seal de temporizacin bsi- ca denominada reloj. El reloj es una seal peridica en la que cada intervalo entre impulsos (el perodo) es igual a la duracin de un bit. En la Figura 1.10 se muestra un ejemplo de una seal de reloj. Observe que, en este caso, cada cambio de nivel de la seal A se produce en el flanco de subida de la seal de reloj. En otros casos, los cambios de nivel se producen en el flanco de bajada de dicha seal. Para cada duracin de un bit de la seal de reloj, la forma de onda A se encuentra a nivel ALTO o bien a nivel BAJO. Como ya hemos mencionado, estos nive- les ALTO y BAJO representan una secuencia de bits. Un grupo de varios bits se puede utilizar como parte de una informacin binaria, tal como un nmero o una letra. La seal de reloj en s misma no transporta informacin. FFIIGGUURRAA 11..1100 Ejemplo de una seal de reloj sincronizada con una seal que representa una secuencia de bits. DDiiaaggrraammaass ddee ttiieemmppooss.. Un diagrama de tiempos o cronograma es una grfica de seales digitales que mues- tra la relacin temporal real entre dos o ms seales y cmo vara cada seal respecto a las dems. Al exa- minar un diagrama de tiempos, es posible determinar los estados (ALTO o BAJO) de todas las formas de onda en cualquier punto de tiempo especificado y el instante exacto en el que una forma de onda cambia de estado respecto a las restantes. La Figura 1.11 es un ejemplo de un diagrama de tiempos para cuatro seales. FFIIGGUURRAA 11..1111 Ejemplo de un diagrama de tiempos. Reloj A B C 1 2 3 4 5 6 7 8 A, B y C a nivel ALTO Perodo de bit Secuencia de bits que representa la seal A 1 0 0 1 A 1 1 1 1 1 0 Reloj 00000 DDGGIITTOOSS BBIINNAARRIIOOSS,, NNIIVVEELLEESS LLGGIICCOOSS YY FFOORRMMAASS DDEE OONNDDAA DDIIGGIITTAALLEESS 1111 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 11 30. A partir de este diagrama de tiempos podemos ver, por ejemplo, que las tres formas de onda A, B y C estn a nivel ALTO slo durante el sptimo ciclo de reloj y las tres cambian de nuevo a nivel BAJO cuando ter- mina dicho ciclo (rea sombreada). Transferencia de datos Los datos son grupos de bits que transportan algn tipo de informacin. Los datos binarios, que se represen- tan mediante seales digitales, deben transferirse de un circuito a otro dentro de un sistema digital o desde un sistema a otro, para poder servir a un propsito determinado. Por ejemplo, los nmeros almacenados en for- mato binario en la memoria de una computadora se deben transferir a la unidad central de procesamiento de la computadora para poder sumarse. El resultado de la suma debe entonces transferirse a la pantalla para visualizarse y/o enviarse de nuevo a la memoria. En los sistemas informticos, como se muestra en la Figura 1.12, los datos binarios pueden transferirse de dos formas: en serie y en paralelo. Cuando los bits se transmiten en serie de un punto a otro, se envan bit a bit a travs de una sola lnea, como se muestra en la Figura 1.12(a) para el caso de una transmisin computadora-mdem. Durante el inter- valo de tiempo de t0 a t1, se transmite el primer bit. Durante el intervalo de tiempo de t1 a t2, se transmite el segundo bit, y as sucesivamente. Por tanto, la transmisin de ocho de bits en serie precisa ocho intervalos de tiempo. Cuando los bits se transmiten en paralelo, todos los bits de un grupo se envan por lneas separadas al mismo tiempo. Como se muestra en la Figura 1.12(b) para el ejemplo de transmisin de ocho bits desde una computadora a una impresora, existe una lnea para cada bit. Para transferir ocho bits en paralelo slo se nece- sita un intervalo de tiempo frente a los ocho que se precisan en la transferencia en serie. FFIIGGUURRAA 11..1122 Transferencia en serie y en paralelo de datos binarios. Slo se muestran las lneas de datos. Computadora 1 0 1 1 0 0 1 0 t0 t1 t2 t3 t4 t5 t6 t7 Transferencia serie de 8 bits de datos binarios desde una computadora a un mdem. El primer intervalo es de t0 a t1.(a) Mdem Transferencia en paralelo de 8 bits de datos binarios desde una computadora a una impresora. t0 es el instante inicial.(b) Computadora t0 t1 0 1 0 0 1 1 0 1 Impresora 1122 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 12 31. En resumen, la ventaja de una transmisin en serie de datos binarios es que slo se necesita una lnea. En la transmisin en paralelo se necesitan tantas lneas como nmero de bits que hay que transmitir al mismo tiempo. Uno de los inconvenientes de la transmisin en serie es que tarda ms tiempo en transferir un nme- ro de bits dado que la transmisin en paralelo. Por ejemplo, si un bit puede transferirse en un 1 s, entonces para transmitir 8 bits en serie se necesitan 8 s, pero slo 1 s para hacerlo en paralelo. Una desventaja de la transmisin en paralelo es que se precisan ms lneas. DDGGIITTOOSS BBIINNAARRIIOOSS,, NNIIVVEELLEESS LLGGIICCOOSS YY FFOORRMMAASS DDEE OONNDDAA DDIIGGIITTAALLEESS 1133 EEJJEEMMPPLLOO 11..22 (a) Determinar el tiempo total necesario para transferir en serie los ocho bits de la seal A mostrada en la Figura 1.13, e indicar la secuencia de bits. El bit ms a la izquierda es el que se transmite en pri- mer lugar. La seal de reloj de 10 kHz se emplea como referencia. (b) Cul es el tiempo total para transmitir los mismos ocho bits en paralelo? FFIIGGUURRAA 11..1133 Solucin (a) Puesto que la frecuencia del reloj es de 100 kHz, el perodo es Se necesitan 10 s para transmitir cada bit de la seal. El tiempo total de trans- misin para 8 bits es: Para determinar la secuencia de bits, examinamos la seal de la Figura 1.13 para cada perodo de bit. Si la seal A est a nivel ALTO durante el perodo de bit, se transmite un 1. Si la seal A est a nivel BAJO durante el perodo de bit, se transmite un 0. La secuencia de bits se muestra en la Figura 1.14. El bit ms a la izquierda es el primero que se transmite. FFIIGGUURRAA 11..1144 (b) Una transferencia en paralelo necesitara 10 s para los ocho bits. Problema relacionado Si los datos binarios se transmiten a una velocidad de 10 millones de bits por segundo (10 Mbits/s), cunto tiempo ser necesario para transmitir en parale- lo 16 bits por 16 lneas? cunto tiempo se tardara en transmitir 16 bits en serie? 1 0 0 1 0 01 1 8 10 =s 80 s T f = = = 1 1 100 10 kHz s Reloj A CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 13 32. 1. Definir binario. 2. Qu significa bit? 3. Qu son los bits en un sistema binario? 4. Cmo se miden el tiempo de subida y de bajada de un impulso? 5. Conociendo el perodo de una seal, cmo se calcula la frecuencia? 6. Explicar qu es una seal de reloj. 7. Cul es el propsito de un cronograma o diagrama de tiempos? 8. Cul es la principal ventaja de la transmisin en paralelo de datos binarios frente a la transmisin en serie? 1.3 OPERACIONES LGICAS BSICAS En su forma ms simple, la lgica es la parte del razonamiento humano que nos dice que una determi- nada proposicin (sentencia de asignacin) es cierta si se cumplen ciertas condiciones. Las proposicio- nes se pueden clasificar como verdaderas o falsas. Muchas situaciones y procesos que encontramos en nuestra vida cotidiana pueden expresarse como funciones proposicionales o lgicas. Dado que tales funciones son sentencias verdaderas/falsas o afirmativas/negativas, pueden aplicarse a los circuitos digitales, ya que stos se caracterizan por sus dos estados. Al finalizar esta seccin, el lector deber ser capaz de: Enumerar las tres operaciones lgicas bsicas. Definir la operacin NOT. Definir la opera- cin AND. Definir la operacin OR. Cuando se combinan varias proposiciones se forman funciones lgicas o proposicionales. Por ejemplo, la proporcin la luz est encendida ser cierta si la bombilla no est fundida lo es y si el interruptor est dado tambin es verdadera. Por tanto, esta proposicin lgica puede formularse de la manera siguiente: la luz est encendida slo si la bombilla no est fundida y el interruptor est dado. En este ejemplo, la primera sentencia slo es verdadera si las dos ltimas lo son. La primera proposicin (la luz est encendida) es por tanto la proposicin bsica y las otras dos son las condiciones de las que depende la proposicin. Hacia 1850, el matemtico y lgico irlands George Boole desarroll un sistema matemtico para formu- lar proposiciones lgicas con smbolos, de manera que los problemas puedan formularse y resolverse de forma similar a como se hace en el lgebra ordinaria. El lgebra de Boole, como se le conoce hoy da, encuentra apli- caciones en el diseo y el anlisis de los sistemas digitales, y se tratar en detalle en el Captulo 4. El trmino lgico se aplica a los circuitos digitales que se utilizan para implementar funciones lgicas. Existen varios tipos de circuitos lgicos que son los elementos bsicos que constituyen los bloques sobre los que se construyen los sistemas digitales ms complejos, como por ejemplo una computadora. Ahora vamos a abordar estos elementos y vamos a estudiar sus funciones de una forma muy general. En captulos posterio- res estudiaremos estos circuitos en detalle. En la Figura 1.15 se muestran los smbolos estndar distintivos de las tres operaciones lgicas bsicas (NOT, AND y OR). Existen otros smbolos estndar para estas operaciones lgicas que se vern en el Captu- FFIIGGUURRAA 11..1155 Operaciones lgicas bsicas y sus smbolos. NOT ORAND RREEVVIISSIINN DDEE LLAA SSEECCCCIINN 11..22 1144 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 14 33. lo 3. Las lneas conectadas a cada smbolo son las entradas y las salidas. Las entradas son las lneas situadas a la izquierda de cada smbolo y la salida es la lnea de la derecha. Un circuito que realiza una operacin lgi- ca determinada (NOT, AND, OR) se denomina puerta lgica. Las puertas AND y OR pueden tener cualquier nmero de entradas, como se indica mediante las lneas de puntos en la Figura 1.15. En las operaciones lgicas, las condiciones mencionadas anteriormente de verdadero/falso se representan mediante un nivel ALTO (verdadero) y un nivel BAJO (falso). Cada una de las tres operaciones bsicas da lugar a una nica respuesta para un determinado conjunto de condiciones. NOT La operacin NOT cambia de un nivel lgico al nivel lgico opuesto, como se muestra en la Figura 1.16. Cuando la entrada est a nivel ALTO (1), la salida se pone a nivel BAJO (0). Cuando la entrada est a nivel BAJO, la salida se pone a nivel ALTO. En cualquier caso, la salida no es la misma que la entrada. La opera- cin NOT se implementa mediante un circuito lgico conocido como inversor. FFIIGGUURRAA 11..1166 La operacin NOT. AND La operacin AND genera un nivel ALTO slo cuando todas las entradas estn a nivel ALTO, como se mues- tra en la Figura 1.17 para el caso de dos entradas. Cuando una entrada est a nivel ALTO y la otra entrada est a nivel ALTO, la salida se pone a nivel ALTO. Cuando cualquiera de las entradas o todas ellas estn a nivel BAJO, la salida se pone a nivel BAJO. La operacin AND se implementa mediante un circuito lgico cono- cido como puerta AND. FFIIGGUURRAA 11..1177 La operacin AND. OR La operacin OR genera un nivel ALTO cuando una o ms entradas estn a nivel ALTO, como se muestra en la Figura 1.18 para el caso de dos entradas. Cuando una de las entradas est a nivel ALTO o ambas entradas estn a nivel ALTO, la salida es un nivel ALTO. Cuando ambas entradas estn a nivel BAJO, la salida ser un nivel BAJO. La operacin OR se implementa mediante un circuito lgico denominado puerta OR. FFIIGGUURRAA 11..1188 La operacin OR. BAJO (0) ALTO(1) ALTO(1) ALTO(1) ALTO(1) ALTO(1) BAJO (0) BAJO (0) BAJO (0) ALTO(1) BAJO (0) ALTO(1) ALTO(1) ALTO(1) ALTO(1) BAJO (0) ALTO(1) BAJO (0) BAJO (0) BAJO (0) BAJO (0) ALTO(1) BAJO (0) BAJO (0) ALTO (1) BAJO (0) ALTO (1)BAJO (0) OOPPEERRAACCIIOONNEESS LLGGIICCAASS BBSSIICCAASS 1155 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 15 34. 1. Cundo la operacin NOT produce una salida a nivel ALTO? 2. Cundo la operacin AND produce una salida a nivel ALTO? 3. Cundo la operacin OR produce una salida a nivel ALTO? 4. Qu es un inversor? 5. Qu es una puerta lgica? 1.4 INTRODUCCIN A LAS FUNCIONES LGICAS BSICAS Los tres elementos lgicos bsicos AND, OR y NOT se pueden combinar para formar circuitos lgi- cos ms complejos, que realicen muchas operaciones tiles y que se empleen en la construccin de sis- temas digitales completos. Algunas de las funciones lgicas ms comunes son: comparacin, aritmti- ca, conversin de cdigos, codificacin, decodificacin, seleccin de datos, almacenamiento y recuen- to. Esta seccin proporciona una panormica general de estas importantes funciones, con el fin de mos- trarle cmo forman los bloques bsicos de sistemas digitales, como por ejemplo las computadoras. Cada una de estas funciones bsicas se ver en detalle en los captulos siguientes. Al finalizar esta seccin, el lector deber ser capaz de: Identificar ocho tipos bsicos de funciones lgicas. Describir un comparador de magnitud bsico. Enumerar las cuatro funciones aritmticas. Describir un sumador bsico. Describir un comparador bsico. Describir un decodificador bsico. Definir multiplexacin y demultiplexa- cin. Explicar cmo se lleva a cabo el almacenamiento de datos. Describir la funcin de un con- tador bsico. La funcin de comparacin La comparacin de magnitudes se realiza mediante un circuito lgico denominado comparador, que se estudia en el Captulo 6. Su propsito es comparar dos cantidades e indicar si son iguales o no. Por ejemplo, supongamos que tenemos dos nmeros y deseamos saber si son iguales o no; en el caso de que no sean igua- les, queremos saber cul es el mayor. La funcin de comparacin se representa en la Figura 1.19. Se aplica un numero en formato binario (representado mediante niveles lgicos) a la entrada A y otro nmero binario (representado tambin mediante niveles lgicos) a la entrada B. Las salidas indican la relacin entre los dos nmeros, generando un nivel ALTO en la salida apropiada. Supongamos que una representacin binaria del nmero 2 se aplica a la entrada A y que una representacin binaria del nmero 5 se aplica a la entrada B FFIIGGUURRAA 11..1199 La funcin de comparacin. Dos nmeros binarios Salidas A B A < B A = B A > B Comparador (a) Comparador de magnitud bsico. A B A < B A = B A > BCdigo binario para el 2 ALTO BAJO Comparador (b) Ejemplo: A menor que B (2 < 5) se indica mediante la salida a nivel ALTO (A < B). BAJO Cdigo binario para el 5 RREEVVIISSIINN DDEE LLAA SSEECCCCIINN 11..33 1166 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 16 35. (en el Captulo 2 veremos la representacin binaria de nmeros y smbolos). Aparecer un nivel ALTO en la salida A < B (A menor que B), indicando la relacin entre los dos nmeros (2 menor que 5). Las flechas ms anchas representan un grupo de lneas en paralelo a travs de las que se transmiten los bits. Funciones aritmticas SSuummaa. La adicin se realiza mediante un circuito lgico llamada sumador, que es estudia en el Captulo 6. Su funcin es sumar dos nmeros binarios (que se aplican a las entradas A y B, junto con una entrada de aca- rreo Cin) y genera la suma () y un acarreo de salida (Cout), como se muestra en la Figura 1.20(a). La Figura 1.20(b) ilustra la suma de los nmeros 3 y 9. Sabemos que la suma es 12; el sumador proporciona este resul- tado generando 2 en la salida suma y 1 en la salida de acarreo. En este ejemplo suponemos que la entrada de acarreo est a 0. FFIIGGUURRAA 11..2200 La funcin suma. RReessttaa.. La sustraccin se realiza tambin mediante un circuito lgico. Un restador requiere tres entradas: los dos nmeros que se van a restar y una entrada de acarreo negativo (borrow). Las dos salidas corresponden a la diferencia y a la salida de acarreo negativo. Por ejemplo, cuando se resta 5 de 8 sin entrada de acarreo, la diferencia es 3 sin salida de acarreo. Como veremos en el Captulo 2, la resta puede realizarse utilizando un sumador, ya que la operacin de sustraccin es simplemente un caso especial de la suma. MMuullttiipplliiccaacciinn.. La multiplicacin se lleva a cabo con un circuito lgico llamado multiplicador. Dado que los nmeros siempre se multiplican de dos en dos, sern necesarias dos entradas. La salida del multiplicador es el producto. Puesto que la multiplicacin es simplemente una serie de sumas con desplazamientos de las posi- ciones de los productos parciales, se puede realizar utilizando un sumador junto con otros circuitos. DDiivviissiinn.. La divisin puede realizarse mediante una serie de sustracciones, comparaciones y desplazamientos, por lo que tambin puede efectuarse usando un sumador junto con otros circuitos. El divisor precisa dos entra- das y las salidas generadas corresponden al cociente y al resto. NNOOTTAASS IINNFFOORRMMTTIICCAASS En un microprocesador, la unidad aritmtico-lgica (UAL o ALU, Arithmetic Logic Unit) realiza las opera- ciones de suma, resta, multiplicacin y divisin, as como las operaciones lgicas sobre los datos digitales mediante una serie de instrucciones. Una ALU tpica se construye con muchos miles de puertas lgicas. Funcin de conversin de cdigo Un cdigo es un conjunto de bits ordenados de acuerdo a un modelo nico y se emplea para representar infor- macin especfica. Ejemplos de estas conversiones son las conversiones de binario a otros cdigos, como por Sumador Sumador A B (b) Ejemplo: A ms B (3 + 9 = 12). Cout Cin0 binario Cdigo binario del 2 1 binario Cdigo binario para el 12 Dos nmeros binarios Salida de acarreo A B Cout CinEntrada de acarreo Suma (a) Sumador bsico. Cdigo binario para el 3 Cdigo binario para el 9 IINNTTRROODDUUCCCCIINN AA LLAASS FFUUNNCCIIOONNEESS LLGGIICCAASS BBSSIICCAASS 1177 CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 17 36. ejemplo el cdigo decimal binario (BCD, Binary Coded Decimal) y el cdigo Gray. Algunos de estos cdi- gos se estudian en el Captulo 2 y en el Captulo 6 se abordan los convertidores de cdigo. Funcin de codificacin La funcin de codificacin se realiza mediante un circuito lgico denominado codificador, que se ver en el Captulo 6. Un codificador convierte la informacin, como por ejemplo un nmero decimal o un carcter alfa- btico, en algn tipo de cdigo. Por ejemplo, un cierto tipo de codificador convierte los dgitos decimales, de 0 a 9, a cdigo binario. Un nivel ALTO en la entrada correspondiente a un determinado dgito decimal gene- ra el cdigo binario apropiado en las lneas de salida. La Figura 1.21 es una sencilla ilustracin de un codificador utilizado para convertir (codificar) una pulsa- cin de una tecla de una calculadora en un cdigo binario que puede ser procesado por los circuitos de la cal- culadora. FFIIGGUURRAA 11..2211 Un codificador utilizado para codificar una pulsacin de una calculadora en un cdigo binario que se almacenar o se emplear en los clculos. Funcin de decodificacin La funcin de decodificacin se realiza mediante un circuito lgico llamado decodificador, que se ver en el Captulo 6. Un decodificador convierte la informacin codificada, como puede ser un nmero binario, en otra informacin no codificada, como por ejemplo un nmero decimal. Por ejemplo, un determinado tipo de deco- dificador convierte un cdigo binario de 4 bits en el correspondiente dgito decimal. La Figura 1.22 es una sencilla ilustracin de un tipo de decodificador que se emplea para activar un dis- play de 7-segmentos. Cada uno de los siete segmentos del display est conectado a una lnea de salida del decodificador. Cuando aparece un determinado cdigo binario en las entradas del decodificador, se activan las correspondientes lneas de salida y se iluminan los segmentos apropiados del display para mostrar el dgito decimal que corresponde al cdigo binario. Funcin de seleccin de datos Existen dos tipos de circuitos dedicados a la seleccin de datos: el multiplexor y el demultiplexor. El multi- plexor es un circuito lgico que pasa los datos digitales procedentes de varias lneas de entrada a una nica lnea de salida segn una secuencia de tiempos especfica. Funcionalmente, un multiplexor puede repre- sentarse mediante una operacin de conmutacin electrnica que conecta secuencialmente cada una de las lneas de entrada a la lnea de salida. El demultiplexor es un circuito que pasa los datos digitales proceden- tes de una lnea de entrada a varias lneas de salida segn una determinada secuencia de tiempo. En esencia, el demultiplexor es un multiplexor invertido. Codificador9 8 9 4 5 6 1 2 3 0 . +/ 7 Teclado de la calculadora 8 7 6 5 4 3 2 1 0 ALTO Cdigo binario del 9 utilizado para almacenamiento y/o realizacin de clculos 1188 CCOONNCCEEPPTTOOSS DDIIGGIITTAALLEESS CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 18 37. FFIIGGUURRAA 11..2222 Un decodificador que convierte un cdigo binario determinado en un cdigo 7-segmentos que permite visualizar el nmero decimal correspondiente. La multiplexacin y la demultiplexacin se utilizan cuando datos procedentes de distintas fuentes tienen que transmitirse a travs de una lnea hasta una localizacin distante y deben redistribuirse a varios destinos. La Figura 1.23 ilustra este tipo de aplicacin en la que datos digitales procedentes de tres orgenes se envan a travs de una sola lnea hasta los tres terminales disponibles en la otra localizacin. En la Figura 1.23, los datos de la entrada A se conectan a la lnea de salida durante el intervalo de tiempo t1 y se transmiten al demultiplexor que los pasa a la salida D. Luego, durante el intervalo de tiempo t2, el multiplexor conmuta a la entrada B y el demultiplexor conmuta a la salida E. Durante el intervalo t3, el multiplexor conmuta a la entrada C y el demultiplexor a la salida F. En resumen, durante el primer intervalo de tiempo, los datos de la entrada A pasan a la salida D. Durante el segundo intervalo de tiempo, los datos de la entrada B pasan a la salida E y durante el tercer intervalo de tiempo, los datos de la entrada C pasan a la salida F. Despus de esto, la secuencia se repite. Puesto que el tiempo se reparte entre varios orgenes y destinos, donde cada uno dispone de su turno para enviar y reci- bir datos, este proceso se denomina multiplexacin por divisin en el tiempo. FFIIGGUURRAA 11..2233 Ilustracin de una aplicacin bsica de multiplexacin/demultiplexacin. Funcin de almacenamiento El almacenamiento es una funcin necesaria en la mayora de los sistemas digitales y su propsito es el de conservar los datos binarios durante un perodo de tiempo. Algunos dispositivos de almacenamiento se utili- Decodificador Entrada binaria Display de 7 segmentos IINNTTRROODDUUCCCCIINN AA LLAASS FFUUNNCCIIOONNEESS LLGGIICCAASS BBSSIICCAASS 1199 Multiplexor A Entrada de control de la secuencia de conmutacin B C t2 t3 t1 t2 t3 t1 Demultiplexor D E F Datos de A a D Datos de B a E Datos de C a F Datos de A a D t1 t2 t3 t1 Entrada de control de la secuencia de conmutacin CAP01_FLOYD_IMP.qxd 15/05/2006 12:40 Pgina 19 38. zan para almacenamiento a corto plazo (temporal) y otros para almacenamiento a largo plazo (permanente). Un dispositivo de almacenamiento puede memorizar u