Índexiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · aquestes tres etapes...

42
ÍNDEX: 1.- INTRODUCCIÓ ................................................................................................... 6 2.- QUÈ ÉS L’ELECTRÒNICA DIGITAL? ............................................................... 7 2.1.- CONCEPTES PREVIS ................................................................................. 7 2.1.1.- Què és l’electrònica? ............................................................................. 7 2.1.2.- Senyals analògics i digitals.................................................................... 7 2.1.3.- Electrònica digital .................................................................................. 8 2.1.4.- L’electrònica digital vers l’electrònica analògica .................................... 8 2.1.5.- Aplicacions de l’electrònica digital a la vida quotidiana ......................... 9 2.2.- ELS SISTEMES DE NUMERACIÓ ............................................................. 10 2.3.- ÀLGEBRA DE BOOLE ............................................................................... 11 2.4.- TAULA DE LA VERITAT ............................................................................ 12 2.5.- FORMA CANÒNICA D’UNA FUNCIÓ ........................................................ 13 2.6.- PORTES LÒGIQUES ................................................................................. 14 2.7.- MAPA DE KARNAUGH .............................................................................. 18 3.- DISSENY ........................................................................................................... 20 3.1.- CONSIDERACIONS PRÈVIES ..................................................................... 20 3.2.- DESCRIPCIÓ DEL COMPORTAMENT ........................................................ 20 4.- SIMULACIÓ ...................................................................................................... 24 4.1.- COMPONENTS ............................................................................................ 25 4.2.- DESCRIPCIÓ CIRCUIT ................................................................................ 28 4.3.- CIRCUIT ....................................................................................................... 29 5.- PLACA PROTO BOARD .................................................................................. 30 5.1.- QUÈ ÉS? ...................................................................................................... 30 5.2.- FUNCIONAMENT ......................................................................................... 30 5.3.- OBJECTIUS .................................................................................................. 31 5.4.- CIRCUITS INTEGRATS................................................................................ 31 6.- DISSENY DE LA MAQUETA ............................................................................ 34 6.1.- PLÀNOLS ..................................................................................................... 34 6.2.- CONSTRUCCIÓ ........................................................................................... 36

Upload: others

Post on 28-May-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ÍNDEX:

1.- INTRODUCCIÓ ................................................................................................... 6

2.- QUÈ ÉS L’ELECTRÒNICA DIGITAL? ............................................................... 7

2.1.- CONCEPTES PREVIS ................................................................................. 7

2.1.1.- Què és l’electrònica?............................................................................. 7

2.1.2.- Senyals analògics i digitals.................................................................... 7

2.1.3.- Electrònica digital .................................................................................. 8

2.1.4.- L’electrònica digital vers l’electrònica analògica .................................... 8

2.1.5.- Aplicacions de l’electrònica digital a la vida quotidiana ......................... 9

2.2.- ELS SISTEMES DE NUMERACIÓ............................................................. 10

2.3.- ÀLGEBRA DE BOOLE ............................................................................... 11

2.4.- TAULA DE LA VERITAT ............................................................................ 12

2.5.- FORMA CANÒNICA D’UNA FUNCIÓ ........................................................ 13

2.6.- PORTES LÒGIQUES................................................................................. 14

2.7.- MAPA DE KARNAUGH .............................................................................. 18

3.- DISSENY........................................................................................................... 20

3.1.- CONSIDERACIONS PRÈVIES..................................................................... 20

3.2.- DESCRIPCIÓ DEL COMPORTAMENT ........................................................ 20

4.- SIMULACIÓ ...................................................................................................... 24

4.1.- COMPONENTS ............................................................................................ 25

4.2.- DESCRIPCIÓ CIRCUIT ................................................................................ 28

4.3.- CIRCUIT ....................................................................................................... 29

5.- PLACA PROTO BOARD .................................................................................. 30

5.1.- QUÈ ÉS? ...................................................................................................... 30

5.2.- FUNCIONAMENT ......................................................................................... 30

5.3.- OBJECTIUS.................................................................................................. 31

5.4.- CIRCUITS INTEGRATS................................................................................ 31

6.- DISSENY DE LA MAQUETA............................................................................ 34

6.1.- PLÀNOLS ..................................................................................................... 34

6.2.- CONSTRUCCIÓ ........................................................................................... 36

Page 2: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

5

6.2.1.- Material i eines ....................................................................................... 37

6.3.- PRESSUPOST ............................................................................................. 38

6.4.- ANÀLISI DE RESULTATS ............................................................................ 39

7.- CONCLUSIONS ................................................................................................ 41

8.- BIBLIOGRAFIA................................................................................................. 43

ANNEX ..................................................................................................................... 45

Page 3: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

6

1.- INTRODUCCIÓ:

L’origen de qualsevol procés tecnològic és satisfer les necessitats humanes que

es presenten al llarg de la història. El concepte d’electrònica digital va aparèixer amb

la finalitat de superar algunes de les dificultats que es presentaven a les indústries.

Aquesta aparició va facilitar molts controls automàtics que han portat al muntatge

d’alguns aparells actuals.

En aquest treball s’exposa una petita introducció al món de l’electrònica digital,

quins són els seus fonaments i principals aplicacions. D’altra banda, es posen en

pràctica els coneixements adquirits, en la construcció d’una maqueta. Es diferencien

dos grans blocs:

En primer lloc, la redacció dels fonaments de l’electrònica digital, coneixements

necessaris per a la seva realització i possibles aplicacions documentades amb

exemples.

En segon lloc, s’ha dut a terme una aplicació d’aquesta tècnica a la tecnologia

digital, realitzant els passos principals en un procés tecnològic: disseny, simulació i

muntatge. Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és

adient tenir en compte a l’hora de fer la part pràctica del treball.

L’elaboració d’aquest treball ha estat impulsada per l’interès a l’electrònica i pels

objectius marcats al principi del projecte: la utilització dels coneixements adquirits al

llarg del treball per a l’obtenció d’una pràctica final.

Abans d’arribar a la meta proposada, s’han trobat algunes dificultats en el disseny

de possibles circuits electrònics i en el muntatge dels mateixos.

Ha estat de molta utilitat un crèdit variable realitzat en cursos anteriors, però

també s’han presentat dificultats per no tenir la suficient formació acadèmica.

Gràcies a aquest treball de recerca es possible parlar d’una projecció personal

(més clara), en un futur pròxim, pel que fa als estudis universitaris.

La recerca d’informació ha estat principalment de pàgines d’Internet (les quals

han ajudat considerablement), de llibres de text especialitzats i dossiers acadèmics.

Page 4: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

7

2.- QUÈ ÉS L’ELECTRÒNICA DIGITAL? 2.1.- CONCEPTES PREVIS:

Un sistema electrònic està format per diferents dispositius, components i elements

electrònics relacionats entre ells. La captació d’un senyal d’entrada, el seu

processament i la transmissió del senyal de resposta a la sortida, són les fases

bàsiques que es poden distingir en qualsevol sistema electrònic.

2.1.1.- Què és l’electrònica?

L’electrònica és una part de la física que estudia una de les partícules que formen

la unitat més petita de la matèria. Aquestes partícules s’anomenen electrons.

Gràcies als electrons es pot aconseguir l’electricitat mitjançant diferents processos

de captació de l’energia alliberada en moure’s entre les capes de l’àtom.

2.1.2.- Senyals analògics i digitals:

Es poden trobar dos tipus de senyals elèctrics, segons la seva forma i

característiques:

• SENYALS ANALÒGICS: Conjunt de valors de tipus continu al llarg d’un temps

determinat. Tenen una relació de semblança o proporcionalitat amb la magnitud

amb la qual treballen.

Normalment representen magnituds físiques del procés.

Exemples de senyals analògics són: el so, la temperatura, la pressió, la

velocitat, la intensitat, etc.

FIGURA 1: “Representació gràfica d’un

senyal analògic.”

Page 5: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

8

• SENYALS DIGITALS: Són tots aquells sistemes elèctrics que, com a senyal,

només tenen la possibilitat d’obtenir valors extrems o dos estats o nivells.

Aquests estan clarament identificats i diferenciats, per tant, no existeix un valor

intermedi. Es passa directament d’una posició a l’altra.

Per exemple: obert o tancat, activat o desactivat, condueix o no condueix, un

interruptor deixa o no passar el corrent elèctric, etc.

FIGURA 2: “Representació gràfica

d’un senyal digital.”

2.1.3.- Electrònica digital:

El present treball es centra en l’estudi de l’electrònica digital.

L’electrònica digital va sorgir perquè gairebé tots els processos industrials i els

controls automàtics que es desenvolupaven amb electricitat, fa uns anys,

necessitaven un control de tot o res, utilitzant la tensió o la manca d’aquesta.

Un sistema digital és el que té unes entrades i unes sortides que poden agafar,

només, un nombre finit de valor discret, és a dir, les magnituds que es poden

mesurar estan definides en intervals del camp dels nombres enters.

Els sistemes digitals són utilitzats, en general, per processar informació.

2.1.4.- L’electrònica digital vers l’electrònica analògica:

En els darrers anys s’estan utilitzant molt més aquests sistemes que no pas els

analògics per les següents raons:

Ø Els sistemes digitals tendeixen a causar menys errors.

Ø Els sistemes digitals poden augmentar la seva precisió, només augmentant el

nombre de variables en la representació d’un valor, mentre que en els sistemes

analògics la precisió depèn de l’exactitud dels components.

Page 6: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

9

Ø La representació de la informació mitjançant un sistema analògic té sentit si

és informació només numèrica, mentre que en un sistema digital també pot ser

informació no numèrica.

Ø Els projectes desenvolupats es poden descompondre en feines més simples i

aquestes en altres encara més senzilles, si el sistema utilitzat és un sistema

digital.

Ø Els avenços de la tecnologia han permès desenvolupar sistemes digitals molt

complexos a baix cost.

Ø Es poden fabricar en circuits integrats (CI), en canvi, els analògics no ho

admeten.

(Totes aquestes afirmacions estan demostrades, per exemple, en els circuits de

control industrial).

L’únic inconvenient que tenen, aquests circuits digitals, és que a la vida real la

majoria de processos són analògics, però si s’utilitza la tecnologia adequada, es pot

aconseguir passar d’un senyal a l’altre mitjançant convertidors A/D (analògic a

digital) i/o D/A (digital a analògic). Aquesta conversió facilita el tractament de les

magnituds analògiques, és a dir, sintetitza els nivells d’informació.

FIGURA 3: “Procés de conversió analògico-digital o digital-analògic”. (Extret de la

referència bibliogràfica “Tecnologia industrial 2”).

2.1.5.- Aplicacions de l’electrònica digital a la vida quotidiana:

“L’electrònica digital té com a finalitat l’estudi i l’aplicació dels circuits on entren

senyals digitals”.

Podem trobar-la aplicada en sistemes de vídeo, sector industrial, medicina,

telecomunicacions, transport, tecnologia militar, àudio, equips de mesura, automòbil,

exploracions a l’espai, sistemes informàtics, telefonia cel·lular, etc.

SENSOR

A/D Sistema digital D/A ACTUADOR

Page 7: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

10

És usual, també, anomenar als circuits digitals com circuits lògics, perquè la

simplificació i resolució dels problemes es duu a terme mitjançant operacions

lògiques o binàries. Aquestes són representades per “1” i “0”.

La majoria dels circuits de control industrial (els autòmats, els ordinadors, etc.)

utilitzen uns circuits complexos de transformació del corrent elèctric, donant-li una

direcció determinada, (de commutació). Així que serà necessària l’ajuda d’uns

processos matemàtics, com ara “el sistema de numeració binari”, “l’Àlgebra de

Boole”, “el mapa de Karnaugh”, etc., els quals permetran realitzar el projecte i arribar

a la solució esperada, amb més facilitat.

2.2.- ELS SISTEMES DE NUMERACIÓ:

“Un sistema de numeració és part d’un llenguatge instrumental que fa servir un

conjunt de símbols i regles matemàtiques per representar dades numèriques o

xifres”.

Els principals sistemes de numeració que existeixen són:

Ø SISTEMA DECIMAL: És el sistema que s’utilitza normalment. És de base 10,

ja que són 10 els dígits que es poden representar (0 al 9).

Ø SISTEMA OCTAL: El formen vuit dígits. És de base 8.

Ø SISTEMA HEXADECIMAL: O bé de base 16. Es representa amb els deu

dígits del sistema decimal més les 6 primeres lletres de l’abecedari.

(Aquests dos últims sistemes es poden fer servir per al tractament de la

informació digital).

Ø SISTEMA BINARI: En aquest cas de variable binària, es troben només dos

dígits “0” i “1” que mitjançant combinacions s’obtindrà qualsevol nombre.

El tipus de base s’indicarà amb el nombre corresponent, com a subíndex. Per

exemple: 13410, 100012, 3068…

El sistema binari és la clau de l’electrònica digital, en el qual es basaran tots els

càlculs necessaris. Per aquesta raó s’han de saber utilitzar correctament les eines

per canviar de base. Per treballar amb circuits digitals, les conversions que

interessaran seran les de base decimal a base binària i viceversa.

Ø Per passar de nombre decimal a nombre binari:

Page 8: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

11

Es divideix el nombre per la base , és a dir, 2, fins que el número sigui superior a

la base. Així s’obtindrà una sèrie de 1 i 0 que combinats entre ells seran el nombre

equivalent al sistema binari.

Exemple:

S’ordenen des de l’últim quocient, progressivament tots els residus, de

manera que el nombre que s’obté és: 10101112

Ø Per passar de nombre binari a nombre decimal:

Cal elevar la base, 2, al número d’ordre dels dígits que representa. A continuació,

es suma terme a terme.

Exemple:

1 0 0 1

1 · 2 3 + 0 · 2 2 + 0 · 2 1 + 1 · 2 0

8 + 0 + 0 + 1 = 9

Per tant, el nombre 10012 és equivalent al nombre 910 del sistema decimal.

2.3.- ÀLGEBRA DE BOOLE

“L’àlgebra de Boole té com a objectiu definir una sèrie de símbols per representar

objectes o fenòmens que donin lloc a expressions matemàtiques més complexes

anomenades funcions. Aquestes funcions, no operen amb relacions quantitatives,

sinó que ho fan amb relacions lògiques.”

Hi ha un conjunt d’operacions en les quals es basa l’àlgebra de Boole per poder

realitzar-ne d’altres de més complexes.

A l’hora de dissenyar un circuit, aquestes operacions tenen una funció equivalent

a la realitat:

Page 9: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

12

Ø La suma és igual a un circuit en paral·lel.

Ø El producte és anàleg a un circuit en sèrie.

Aquesta taula presenta les principals operacions de les lleis de l’àlgebra de Boole

en la seva forma bàsica i la forma dual, és a dir, el canvi de la suma pel producte i

viceversa:

FIGURA 4: “Principals lleis de Morgan”. (Extret del llibre “Electrotècnia”).

2.4.- TAULA DE LA VERITAT:

La taula de la veritat d’una funció lògica és un quadre en el qual es representa la

sortida de la funció lògica respecte les combinacions dels valors d’entrada.

Exemple:

El nombre de columnes de la taula depèn de la quantitat de variables d’entrada

que té la funció, més l’última columna, on s’indiquen els valors de sortida.

a b f(a,b) 0 0 0

0 1 0 1 0 0

1 1 1

Page 10: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

13

El nombre de files està lligat al nombre de combinacions possibles entre les

variables d’entrada, que es calculen amb la fórmula o expressió 2n on la base és 2

degut als valors que pot prendre la sortida (1/0) i n’és el nombre de variables.

Exemple:

dccbaf ··· +=

a, b, c, d, són 4 variables d’entrada, per tant, 24 combinacions.

Aquestes combinacions segueixen un ordre lògic començant pel nombre 010, 110,

210, 310... i així progressivament, en sistema binari, 0002, 0012, 0102, 0113..., fins a

arribar al màxim número de combinacions possibles.

2.5.- FORMA CANÒNICA D’UNA FUNCIÓ:

La forma canònica o irreductible d’una funció booleana es troba a partir de la taula

de la veritat d’aquesta. A l’expressió canònica, apareixen totes les variables en la

seva forma directa o inversa, en altres paraules, qualsevol terme d’una equació

haurà de tenir totes les variables de la funció.

Es requeriran totes les combinacions la variable de sortida de les quals sigui 1.

Totes aquestes combinacions són les que formaran la funció.

Hi ha dos tipus d’equacions canòniques: les que segueixen una estructura com a

suma de productes (o anomenades, també miniterms) i les que tenen una estructura

com a producte de sumes, (o bé, maxterms).

Ø Funció lògica en forma de miniterms:

Totes les variables, la combinació de les quals doni valor 1 en la sortida, estaran

multiplicades entre elles (internament) però al mateix temps, els termes canònics

estaran sumats entre ells. Quan es disposa d’aquesta composició de multiplicació

interna dels termes i suma externa, es diu que la funció està escrita en forma de

miniterms.

Cada variable de la combinació el valor de la qual sigui 1, serà escrita en la

mateixa variable directa, en canvi, si el valor és 0, s’escriurà la variable

complementària o negada.

Page 11: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

14

Exemple:

“Combinacions en què el valor

de sortida és 1”

La funció serà, per tant, cbacbaf ···· += .

Ø Funció lògica en forma de maxterms:

Aquesta forma serà contrària a l’anterior (miniterms). Ara els termes de la

combinació es multiplicaran (internament) i els canònics se sumaran. Aquesta

composició de multiplicació i suma s’anomena maxterms. La seva notació seguirà

aquest procés: si la variable pren el valor 0, serà escrita en la seva forma directa, i si

pren valor 1, en la seva forma complementària.

Exemple:

La funció d’aquesta taula de

veritat és:

))·(( cbacbaf ++++=

2.6.- PORTES LÒGIQUES:

Per poder representar gràficament les diferents operacions lògiques, sense deixar

de banda la taula de la veritat, necessitarem uns símbols convencionals anomenats

portes lògiques.

a b c f(a,b,c) 0 0 0 0

0 0 1 0 0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 0 1 1 0 1

1 1 1 1

a b c f(a,b,c) 0 0 0 0

0 0 1 0 0 1 0 0 0 1 1 0

1 0 0 0

1 0 1 0

1 1 0 1 1 1 1 1

Page 12: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

15

Podem trobar dos tipus de nomenclatures en:

Ø Les normes ASA (American Standard). Aquesta és la simbologia que està

normalitzada i la coneguda per tothom.

Ø Simbologia DIN, que és una altra simbologia que pràcticament no es fa servir.

Hi ha tres d’aquests símbols gràfics (portes lògiques) que són essencials. Amb la

combinació d’aquestes tres portes (OR, AND i NOT), que estan relacionades

directament amb les operacions bàsiques de l’àlgebra de Boole, obtindrem d’altres

portes.

Cadascuna de les portes lògiques té una taula de la veritat, un símbol, un

equivalent en els circuits reals i un component elèctric que realitza la seva funció.

Funcions lògiques fonamentals:

Ø OR:

La funció lògica OR és la que necessita solament d’un «1» en una de les variables

d’entrada per a què s’obtingui un «1» a la sortida. L’única possibilitat que té, aquesta

porta, perquè el valor de sortida sigui «0» és si totes les variables d’entrada són «0».

Correspon a l’operació bàsica “suma”.

Una de les característiques d’aquesta porta és que pot tenir totes les entrades que

es desitgin.

Ø AND:

L’única opció que té la funció AND per a què en la seva sortida hi aparegui un «1»

és que totes les variables d’entrada siguin, també, «1».

La funció lògica AND equival a l’operació bàsica producte i, pot tenir totes les

entrades que es vulguin fer servir.

Page 13: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

16

Ø NOT:

La funció lògica NOT és la funció complementària, inverteix l’estat d’una variable

lògica, és a dir, transforma un «1» en «0» i viceversa, «0» en «1».

A diferència de les anteriors, només té una sola variable d’entrada i no pot

adoptar-ne cap més.

Funcions lògiques invertides:

Ø NOR:

Aquesta porta és la complementaria de la porta OR, el seu contrari. Ara l’única

solució possible per a què la sortida sigui «1» és que no hi hagi cap «1» a les

variables d’entrada, és a dir, que totes les entrades siguin falses (0).

Page 14: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

17

Ø NAND:

La negació de la porta lògica AND és aquesta (NAND). Significa que subministra

un «1» a la sortida si com a mínim un dels senyals d’entrada és «0».

Funcions lògiques exclusives: Ø X-OR:

El seu procediment és el següent: la sortida és certa (1) si només una de les

seves variables d’entrada és «1».

Aquesta funció també pot tenir tantes variables com siguin necessàries.

Ø X-NOR:

Aquesta porta lògica és la complementària o inversa de la porta X-OR. La variable

de sortida serà «1» si hi ha més d’un valor repetits.

Es poden arribar a construir funcions lògiques molt complexes gràcies a les

connexions que es poden fer entre les portes lògiques d’aquest apartat.

Page 15: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

18

2.7.- MAPA DE KARNAUGH:

El mapa de Karnaugh o sistema gràfic és una manera de simplificació d’una

funció lògica, necessàriament escrita en forma canònica o mitjançant la taula de la

veritat d’una funció.

Consisteix a expressar la funció en forma de suma de productes o productes de

sumes, amb els menors termes possibles.

Aquest mètode de Karnaugh es realitza amb l’ajuda de la representació gràfica de

la taula de la veritat. Depenent de les variables d’entrada hi haurà diferents mapes:

Després d’aquesta representació, aquest mapa s’haurà d’omplir, escrivint el

nombre “1” al quadrat corresponent a la combinació de la variable d’entrada que

sigui certa a la sortida, és a dir, que la sortida tingui valor «1».

El següent pas a seguir és l’agrupació d’aquests «1». Només es poden fer grups

d’un sol 1 o dels nombres que són potència de 2 perquè es tracta d’un sistema binari

i, per tant, hauran de ser 2n quadrícules agrupades. S’haurà de vigilar per tal

d’agafar la major quantitat possible d’uns.

Serà necessari analitzar les variables d’entrada per seguir el procés de

simplificació:

Ø Formarà part de la funció simplificada tota variable que es repeteixi, amb el

mateix valor, a tota la agrupació. Aquesta variable serà negada si el seu valor és

«0», però si és «1» s’escriurà en la seva forma directa.

Ø En canvi, s’observa que la sortida no depèn de les variables que canviïn el

seu valor contínuament dins del grup.

Page 16: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

19

Exemple:

dcbadcbadcbadcbadcbadcbadcbaf ····················· ++++++=

db·

da·

dc·

La funció simplificada és: dcdadbf ··· ++=

Page 17: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

20

SENSOR D’ENTRADA

Límit superior

SENSOR DE SORTIDA

Límit inferior

COMPTADOR

DESCODIFICADOR

DISPLAY

Marca 9 Altres casos

Marca 0

LED VERMEL

Bloqueig superior

LED VERD

Bloqueig inferior

3.- DISSENY: 3.1.- CONSIDERACIONS PRÈVIES:

La finalitat de la part pràctica d’aquest treball de recerca és muntar un circuit

electrònic digital mitjançant el qual es puguin dur a terme les operacions bàsiques de

sumar i restar.

Aquest circuit s’aplicarà a un muntatge real equivalent a un pàrquing de cotxes de

nou places.

FIGURA 5: “Esquema del procés”.

3.2.- DESCRIPCIÓ DEL COMPORTAMENT:

Es vol aconseguir l’accionament d’un comptador a partir de dos sensors

(d’entrada i de sortida) per tal d’efectuar les operacions matemàtiques bàsiques com

són la suma i la resta.

• El dispositiu d’entrada serà l’encarregat de donar ordres a la funció suma. És

convenient un límit superior en aquest sensor per tal de bloquejar el circuit en

l’instant que s’arribi al màxim nombre possible. Per tant, es presenta la combinació

d’un “0” a les dues entrades. Interessa, però, una sortida igual a <0>.

Page 18: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

21

La taula de la veritat que es necessita per dissenyar una porta lògica la funció de

la qual sigui limitar el sensor a la part superior és:

bababaf ··· ++=

Simplificant la funció, que es troba a partir de la taula

de la veritat, amb el mètode de Karnaugh s’obté:

baf +=

La porta que presenta aquesta funció lògica és la OR,

que també pot trobar-se en forma d’altres combinacions

de portes com per exemple:

OR = NOR + NOT

• El dispositiu de sortida té el càrrec de donar ordres a la funció resta. Existeix

un límit inferior en aquest sensor per tal d’evitar problemes a l’hora de restar un

nombre menor a 0. Per aquest motiu el circuit quedarà bloquejat en aquesta xifra.

S’ha de fer el mateix procediment que al limitar la funció suma, ja que es parteix

d’igual condició, per tant, la porta lògica que es necessita en el bloqueig inferior del

circuit, també, serà una porta OR.

• Estrictament lligat al límit inferior del sensor de sortida, es presenta un circuit

lògic. L’objectiu d’aquest circuit és donar el senyal correcte al sensor anterior, és a

dir, avisar de l’existència d’un 0 al display.

Serà essencial la connexió entre aquest circuit lògic i els impulsos que transmet el

comptador al descodificador. Per això, en aquest punt, es jugarà amb quatre

entrades (a, b, c, d) les quals hauran de funcionar només en el cas que totes quatre

marquin un “0”. La taula de veritat corresponent és:

a b f(a,b) 0 0 0

0 1 1 1 0 1 1 1 1

Page 19: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

22

dcbadcbaf +++== ···

Hi ha una porta lògica que presenta aquesta

condició: NOR ( de 4 entrades).

Per seguir el mateix esquema que en els

altres casos, es pot utilitzar la combinació

d’altres portes de 2 entrades per substituir

aquesta funció ( dcbaf +++= ).

• D’altra banda, l’última condició lògica que presenta el conjunt té la utilitat

d’indicar, mitjançant un visualitzador, l’estat del circuit.

La combinació necessària per accionar el visualitzador és 10012, és a dir, el

nombre 910 (màxim) en sistema decimal. Es seleccionaran com a entrades les

connexions equivalents al nombre 9, (10012).

La taula de la veritat necessària, doncs, és:

baf ·= . Funció de la porta AND, també representada

com a suma de portes NAND + NOT.

a b c d f(a,b,c,d) 0 0 0 0 1

0 0 0 1 0 0 0 1 0 0

0 0 1 1 0

0 1 0 0 0 0 1 0 1 0

0 1 1 0 0

0 1 1 1 0

1 0 0 0 0 1 0 0 1 0

a b f(a,b) 0 0 0

0 1 0 1 0 0 1 1 1

Page 20: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

23

• Com s’ha anat explicant al llarg de la descripció dels petits circuits lògics que

componen el conjunt, el circuit inclou altres dispositius digitals que permeten la

realització d’accions diferents. Aquests dispositius són: comptador, descodificador,

visualitzadors (leds*, 7-segments display), altres interruptors, etc., la funció dels

quals serà explicada més endavant. Així mateix es necessitarà afegir alguns

dispositius analògics com ara resistències, condensadors, díodes i transistors, per tal

d’aconseguir que el sistema sigui molt més estable.

* LED: Visualitzadors o presentadors, els quals donen informació de l’estat del procés. Poden ser de

diferents color i mides.

Page 21: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

24

4.- SIMULACIÓ:

El següent pas a seguir en un procés tecnològic és la simulació del circuit

dissenyat.

Hi ha un seguit de programes d’ordinador, especialitzats en l’electrònica digital,

que permeten aquesta simulació sense risc d’espatllar els components reals i deixen

treballar, també, amb una certa comoditat. Exemples d’aquest tipus de programes

són l’Electronic Work Bench i el Logic Works, entre d’altres.

En aquest cas el programa que s’utilitzarà és el Logic Works (LW).

Aquest programa (LW) presenta una sèrie de característiques. Està format per 5

finestres distintes. Cadascuna d’elles realitza una funció diferent que permetrà dur a

terme diverses accions en la construcció i simulació del circuit.

• La part principal anomenada com el mateix programa (Logic Works) és la que

presenta les opcions bàsiques de qualsevol programa d’ordinador, és a dir, guardar,

obrir, tancar, l’ajuda, etc.

• La finestra de dibuix és en la qual es treballa i esquematitzen tots els

components, connexions...

• La finestra Parts inclou tots els components digitals, per tant, és la més

utilitzada juntament amb la finestra de dibuix.

• El sector amb el nom de Palette és el que conté les eines de dibuix. Permet la

realització de cables, petits textos, introducció dels components, augment i

disminució de la visió, etc.

• Per últim, però no menys important, es troba la finestra Timing. Aquesta,

elabora una gràfica del comportament del circuit que s’està simulant, depenent de la

variable de sortida. A fi que funcioni ha d’afegir-se un nom a les entrades i sortides

dels dispositius, ja que sinó, no s’activarà.

A continuació una captura de la pantalla del programa Logic Works, amb totes les

seves finestres descrites anteriorment.

Page 22: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

25

FIGURA 6: “Captura de pantalla del programa Logic Works”.

4.1.- COMPONENTS:

Tot seguit es presenta una enumeració i petita descripció de tots els components

que s’empraran en el circuit:

Ø BINARY SWITCH:

Se n’utilitzaran quatre, dos dels quals tenen la funció de sensors o interruptors.

Aquests elements són els dispositius d’entrada d’ordres manuals.

Els dos restants són interruptors normals, que posen les entrades, a les quals

estan connectats, del xip 74192 a 0 o a 5 volts.

Aquesta mateixa funció de connectar a 0 i 5V, pot fer-la també

“l’Hexadecimal keyboard wo str”, que serà

utilitzat per qüestions de claredat al disseny.

Page 23: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

26

Ø BINARY PROBE:

Aquests tres dispositius tenen la funció de visualitzar l’estat del circuit segons les

portes lògiques que els governin.

El primer binary probe senyala el nombre màxim (910), que es pot mostrar en el

display. De forma complementària, el segon binary probe, sempre es manté encès

menys en el cas que l’anterior s’activi.

L’últim, té la funció de límit, és a dir, marcarà un “1” en el

moment que el display estigui en posició 0 o 9 i no pugui tirar

endarrere o endavant, respectivament.

Ø 7-SEGMENTS DISPLAY:

És l’element binari que permet visualitzar números i textos. En aquest cas,

il·lustrarà els nombres del 0 al 9.

Ø XIP 74192:

Aquest xip és el circuit seqüencial encarregat de canviar la situació del procés

segons les ordres que rebi. Per a què es dugui a terme aquest canvi, el circuit

seqüencial requereix un rellotge i per aquest motiu porta incorporades les entrades

per connectar-lo. Transmet les ordres a partir d’impulsos elèctrics.

Les entrades amb les inicials Bor i Car seran utilitzades en el cas de disposar de

dos displays.

Page 24: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

27

Ø XIP 7447:

És el circuit encarregat de transformar el senyal binari, que li arriba d’un altre

dispositiu, per a obtenir la visualització en nombres decimals al display. Per tant, és

un descodificador de BCD (binari) a set segments. Sense la seva presència, el

funcionament del display no seria correcte.

Ø PORTES OR:

Seran útils dues d’aquestes portes en la realització del circuit. Hi ha un xip

anomenat 7432 que conté quatre d’aquestes portes integrades. Encara que a la

realitat aquest dispositiu serà utilitzat, a la llibreria del LW no es

troba disponible i, per tant, en el disseny s’ha hagut de fer servir

una porta senzilla per poder simular el circuit.

Ø PORTES NOR:

Es planteja la mateixa situació amb el xip 7425. Aquest conté

dues portes NOR de quatre entrades, al seu interior. Com que no

es disposa d’ell al programa d’ordinador LW, s’ha representat

d’aquesta manera:

Ø PORTES AND:

Aquest cop sí que pot substituir-se la funció de la porta AND per un circuit

integrat que s’anomena xip 7408. El mateix dispositiu porta incorporades quatre

portes AND de dues entrades. És possible fer ús de la simbologia senzilla, però s’ha

representat en forma de xip per facilitar, després, el muntatge a partir de l’esquema.

Page 25: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

28

Amb una bona col·locació i connexió de tots aquests components es pot realitzar

el correcte funcionament del procés al qual es vol arribar.

4.2.- DESCRIPCIÓ CIRCUIT:

Els sensors que a la realitat s’encarreguen d’advertir dels canvis o problemes en

tot el procés a controlar, (és a dir, que hi ha canvis en el sistema) són els elements

anomenats binary switch, al simulador.

Un cop detecten la presència de possibles canvis, autoritzen enviar un senyal en

forma d’impuls, fins al display, passant, abans, per un circuit seqüencial i pel

descodificador BCD (binari).

El circuit seqüencial* és un comptador 74192 gràcies al qual es poden sumar i

restar els impulsos que es proporcionen. Aquests impulsos elèctrics s’han de

transformar en impulsos binaris per poder utilitzar correctament el display de set

segments. D’aquesta acció se n’encarrega el descodificador BCD 7447 (binari).

Aquest activa els segments corresponents al nombre que es vol aconseguir i

d’aquesta manera es visualitza al display.

Aquest mateix circuit presenta dues característiques:

* Circuit seqüencial: depèn del temps i d’estats anteriors que poden estar relacionats. En canvi,

existeix un altre tipus de circuit anomenat combinacional que no depèn de cap estat i, per tant, és

més senzill d’utilitzar i muntar.

Page 26: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

29

• Al sensor d’entrada i sortida hi ha uns circuits lògics que funcionen com a límit

en el moment que el display mostra un 0 o un 9. Això permet bloquejar el procés i no

es poden descomptar o comptar més o menys nombres del compte.

• Hi ha dos binary probe que marquen l’estat del procés. El primer es mantindrà

encès (posició 1) a tots els nombres menys al 910, que és en l’única oportunitat que

s’encendrà el segon binary probe.

El comptador necessita estar connectat en totes les seves entrades a 0V. Per

aquesta raó s’utilitza l’hexadecimal keyboard wo str i dos binary switch com a funció

d’interruptors a 0V.

4.3.- CIRCUIT:

El circuit descrit és il·lustrat amb detall a l’esquema següent. Consta de tots els

components explicats i de les connexions adients entre si.

FIGURA 7: “Circuit dissenyat”.

Respecte aquest circuit, apareixen dues expressions com són av i re que són

utilitzades per eliminar cables (que a la realitat existiran) que puguin complicar

l’entesa del disseny.

Page 27: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

30

5.- PLACA PROTO BOARD: 5.1.- QUÈ ÉS?

Una placa proto board és l’eina que permet provar el funcionament dels circuits

digitals a la realitat abans de fer el muntatge final. Aquesta placa serà, també,

l’utilitzada per a la realització del muntatge definitiu.

IMATGE 1: “Placa proto board”. N. Santos.

5.2.- FUNCIONAMENT:

Aquesta placa està dividida en sis seccions. Aquestes divisions estan clarament

diferenciades per una separació entre forat i forat.

Les dues columnes centrals es comporten de la següent manera: les connexions

estan realitzades per files, cadascuna de les quals en té 5.

D’altra banda, els extrems estan formats per quatre columnes, ja que a la meitat

de la placa es presenta la divisió. No obstant això, aquestes columnes presenten

una simetria respecte a l’eix.

Ara els forats de cada columna estan connectats en tota la seva longitud.

La connexió entre les dues files superiors i entre les dues inferiors es realitzarà

mitjançant un cable a la meitat de la placa, com es pot observar a la figura. L’objectiu

d’aquesta unió és proveir d’alimentació elèctrica a tota la placa.

Page 28: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

31

FIGURA 8: “Parts d’una placa proto board”.

Després de fer la comprovació a la placa proto board es podrà decidir si el

comportament del circuit és l’esperat i, per tant, és aconsellable la seva utilització.

5.3.- OBJECTIUS:

• Conèixer totes les possibilitats dels circuits integrats (xips) que han d’utilitzar-

se.

• Estudiar i elaborar correctament les connexions del circuit.

• Muntar el circuit a la placa proto board.

• Comprovar el correcte funcionament.

5.4.- CIRCUITS INTEGRATS:

Abans de realitzar les connexions amb els components reals és adient conèixer

l’equivalència de cadascun dels connectors o pins que aquests circuits integrats

presenten per tal de no cometre errors que siguin complexes de detectar.

El llistat dels circuits que es faran servir és:

Page 29: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

32

Ø 1 comptador 74192.

Ø 1 descodificador 7447.

Ø 1 xip de portes NOR (de quatre entrades) 7425.

Ø 1 xip de portes OR 7432.

Ø 1 xip de portes AND 7408.

A part d’aquests dispositius, seran necessaris d’altres components com:

Ø 2 finals de cursa o sensors infraroigs (IR). (F 1; F 2).

Ø 2 microrruptors ( de 4 i 5 pins). (A, B, C, D, E, F, G, H, I).

Ø 1 display d’ànode comú.

Ø 1 led vermell. (L1).

Ø 1 led verd. (L2).

A continuació es mostren la correspondència, la numeració real dels seus

connectors i les característiques més importants:

Page 30: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

33

Tots els dispositius han d’estar alimentats a 5V i 0V. Els pins que corresponen a

l’alimentació a 5V són els anomenats Vcc, d’altra banda, els encarregats de

connectar-se a 0V són els Gnd.

Pel que fa als xips 7432 i 7408 les lletres A i B corresponen a les entrades d’una

porta i la lletra Y, a la sortida.

El xip 7425 segueix el mateix esquema, però amb la diferència que aquest té

quatre entrades (A, B, C i D) i el pin Y serà la sortida.

Respecte al descodificador 7447, els connectors amb el nom de OA a OG són les

sortides que es connecten al display i els connectors A, B, C i D són les entrades

que aniran connectades al comptador 74192.

El comptador 74192 presenta diferents abreviatures:

• Load: Serveix per carregar un valor inicial.

• Clr (clear): Serveix per reiniciar el circuit.

Els connectors de qualsevol dispositiu que presenten una rodona a la sortida

signifiquen que són actius per baix nivell (amb un 0). En canvi, els restants són

actius a alt nivell (amb un 1).

Per últim, el display també ha d’anar connectat a 5V al pin Vcc i a 0v al pin que

es diu ànode comú. Els connectors indicats amb una X no existeixen, són un forat.

Page 31: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

34

6.- DISSENY DE LA MAQUETA:

Una maqueta és una reproducció, a escala reduïda, de qualsevol projecte, feta

amb materials fàcils de treballar, com el cartró, la fusta, etc. La seva funció és donar

una imatge més real d’allò que ha estat treballat amb anterioritat.

En aquest cas concret, la maqueta és una estructura que consta d’una superfície

de fusta que representarà el terra; dos espais oberts que faran de portes i que

permetran l’entrada i sortida dels cotxes; les parets, que cobriran al pàrquing, i per

últim, una caixa transparent, que deixarà visualitzar el circuit digital que hi haurà al

seu interior. Aquesta caixa ha d’estar col·locada de manera que arribin els cables

que connectaran als finals de cursa o sensors d’infraroig a la rampa d’entrada i

sortida del pàrquing. Els dos visualitzadors, com són el display i el led, també hauran

de col·locar-se de manera visible.

6.1.- PLÀNOLS:

• En el moment de dibuixar els plànols d’una maqueta és recomanable, però no

necessari, un dibuix a mà alçada per a plantejar la situació de la maqueta, Aquest

dibuix s’anomena esbós.

• D’altra banda, s’ha dibuixat un croquis* gràcies al qual s’han pogut realitzar

els plànols posteriors. Aquests plànols s’han fet a escala utilitzant les eines de

dibuix necessàries.

FIGURA 9: “Croquis en alçat”.

* CROQUIS: Dibuix a mà alçada, seguint unes normes, per plantejar la situació d’una maqueta o

projecte.

Page 32: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

35

FIGURA 10: “Croquis en planta”.

A continuació es mostren les tres vistes o projeccions de tota l’estructura, és a

dir, l’alçat, la planta i el perfil. Aquests plànols estan expressats en cm.

Page 33: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

36

Page 34: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

37

6.2.- CONSTRUCCIÓ:

La construcció de tot el projecte, en una maqueta, requereix la utilització de

diferents materials i eines per donar-los la forma desitjada.

6.2.1.- Material i eines:

A la taula següent són esmentats tots els materials emprats que s’han fet servir

per a la construcció del pàrquing.

MATERIAL

Fusta (dm, fullola,

conglomerat,...)

Cargols i femelles

Cable elèctric

Cola de fuster

Pintura

Caixa de bombons de

metacrilat

Estany

Les eines s’han utilitzat per fer els dibuixos adients, pintar, soldar i retocar la

maqueta. Aquestes eines són molt comunes i de fàcil utilització.

EINES

Regle i llapis

Serra d’arquet + fulls de

serra (manual)

Tornavís

Pinzells i/o brotxes

Trepant de sobretaula o

de mà + broques

Soldador d’estany

Page 35: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

38

L’elecció del material i eines utilitzats ha estat per diferents factors com són el

preu, disponibilitat, comoditat per treballar, millors acabats, etc.

6.3.- PRESSUPOST:

En aquest apartat es mostra el preu de cada component i material que ha estat

utilitzat en el circuit electrònic i en la maqueta:

QUANTITAT DESCRIPCIÓ PREU UNITARI SUMA PARCIAL

1 100g de rotllo d'estany de 1 mm 1,9656 € 1,9656 €

1 Led vermell de 5 mm de diàmetre 0,0948 € 0,0948 €

1 Led verd de 5 mm de diàmetre 0,1156 € 0,1156 €

1 74LS02 porta NOR 0,5210 € 0,5210 €

1 74LS32 porta OR 0,5210 € 0,5210 €

1 74LS08 porta AND 0,5210 € 0,5210 €

1 74LS25 porta NOR de quatre entrades 0,6875 € 0,6875 €

1 ..74LS192 comptador decimal 1,3407 € 1,3407 €

1 Descodificador binar-decimal 74LS47 2,5627 € 2,5627 €

1 Display d'ànode comú LA3051-16 1,1854 € 1,1854 €

2 Final de cursa 2,5851 € 5,1702 €

4 Condensador 1 pF 0,6841 € 2,7364 €

1 Condensador 133 µF 0,3541 € 0,3541 €

1 Condensador 1100 nF 0,6871 € 0,6871 €

4 Transistors BC338 0,5412 € 2,1648 €

7 Resistència 470 Ω 1/4W 0,3694 € 2,5858 €

1 Resistència 270 Ω 1/4W 0,3694 € 0,3694 €

4 Resistència 22k Ω 1/4W 0,3694 € 1,4776 €

1 Resistència 1k Ω 1/4W 0,3694 € 0,3694 €

4 Resistència 180 Ω 1/4W 0,3694 € 1,4776 €

1 Potenciòmetre 22k 2,8470 € 2,8470 €

1 Díode 1N4007 1,0980 € 1,0980 €

2 Pinces de cocodril vermelles 1,3200 € 2,6400 €

2 Pinces de cocodril negres 1,3200 € 2,6400 €

Page 36: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

39

1 Timer 555 2,5401 € 2,5401 €

1 Microrruptor 05 PIN 1,0816 € 1,0816 €

1 100 m de bobina de cable de 0,28 mm 8,5500 € 8,5500 €

2 Placa proto board 3,2140 € 6,4280 €

SUMA TOTAL 54,73 €

IVA (16%) 63,49 €

6.4.- ANÀLISI DE RESULTATS:

El primer disseny que es va fer del circuit consistia a sumar i restar els nombres

del 0 al 9, sense detenir el circuit al arribar a aquests valors. També hi havia un led

vermell que indicava el nombre màxim, és a dir, el 9, per així avisar que el pàrquing

era ple.

Aquest primer circuit va ser modificat per un altre de més complex, ja que si es

cometia algun error en accionar els sensors, el display es podia descomptar. Per

exemple: si el display marcava un 9 i es tornava a accionar el sensor suma, aquest

passava a marcar un 0, quan continuava sense haver-hi places buides al pàrquing.

Aquest problema es va veure solucionat amb el disseny del segon circuit. En

aquest, es van afegir unes portes lògiques que fessin la funció de limitar els dos

extrems. Gràcies a aquesta funció es va arribar a la primera conclusió: amb la

combinació de dues portes, la NAND i la NOT, es pot aconseguir la funció equivalent

a totes les altres portes. Això va presentar diverses opcions per escollir a l’hora del

muntatge.

En el muntatge s’havia d’aconseguir simplificar les funcions lògiques al màxim,

per tal d’estalviar lloc i circuits integrats. Es varen fer els càlculs adients per poder

utilitzar, només, aquestes dues portes, però al final es va presentar una dificultat:

sortia més a compte la utilització d’altres portes, ja que amb un sol xip de cadascuna

de les portes anteriors (NAND I NOT) no n’hi havia prou.

Amb la simulació de l’últim circuit es passà a l’etapa d’un aparell anomenat

entrenador digital, el funcionament del qual és observar el correcte o incorrecte

funcionament del circuit, ja amb components físics. Aquest entrenador va presentar

un problema pel que fa al nombre de components així com pel que respecta al

nombre de connectors dels sòcols, ja que era inferior al necessari (el 74192 té 16

Page 37: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

40

pins, mentre que els sòcols de l’entrenador només 14). Això és degut a una

modificació de les tecnologies de fabricació dels xips en els últims anys.

Es va buscar una alternativa, la placa proto board. En aquesta placa es va provar

cadascun dels dispositius i petits circuits que componien el circuit real. Aquí també

van sorgir algunes dificultats, ja que els dispositius són molt petits i hi ha moltes

connexions entre si. Es va arribar al punt de plantejar-se un problema en el disseny,

però al final es va aconseguir l’objectiu a la placa, amb el circuit que ja havia estat

comprovat anteriorment.

La part més complicada, (circuit electrònic) ja s’havia dut a terme, per tant, només

faltava la carcassa per donar una forma real al projecte.

Es muntà l’estructura, es va soldar el circuit electrònic a la mateixa i va

comprovar-se’n el funcionament.

Page 38: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

41

7.- CONCLUSIONS:

Les tres etapes del procés tecnològic en què es fonamenta el present treball de

recerca (disseny, simulació i muntatge) s’han d’afrontar una vegada l’objectiu final

està ben definit.

Abans d’elegir els dispositius adients per al projecte, s’ha de reflexionar sobre el

comportament que es vol obtenir per així realitzar els càlculs necessaris sense

deixar-se cap pas, ja que la manca d’un d’ells, pot provocar un error en el circuit o

una mala elecció dels components físics a utilitzar.

El primer pas a seguir en el disseny del circuit és la definició de l’objectiu a

aconseguir. Seguidament ha de realitzar-se la taula de veritat amb l’ajuda de la qual

s’obtindrà la funció desitjada en la seva forma canònica. Per finalitzar, es simplificarà

aquesta funció mitjançant el mètode de Karnaugh i s’elegiran les portes lògiques que

es faran servir.

Per aconseguir el correcte funcionament d’un circuit electrònic digital no són

suficients les portes lògiques. Per tal que aquestes realitzin qualsevol acció són

necessaris, com a mínim, dispositius que permetin visualitzar tot allò que passa en el

procés.

A l’hora de simular el circuit dissenyat no es necessiten altres components com per

exemple resistències, condensadors o transistors, per tant, es presenta una dificultat

considerable a l’hora de passar del simulador a la realitat.

Existeixen diverses alternatives en el moment de decidir la base en la qual es

treballarà. Cadascuna de les opcions presenta unes característiques. Una possibilitat

és muntar el circuit damunt una placa proto board. Aquesta és molt còmoda per

treballar i se’n poden afegir d’altres d’iguals (fent les connexions adients), que

permetran millorar l’espai de treball. En canvi, de vegades pot jugar males passades

per culpa de la seva imprecisió o inestabilitat.

D’altra banda, existeix la possibilitat de muntar una placa que es diu circuit imprès

el procés de fabricació del qual comença a partir d’una placa verge de coure. En

aquesta placa es traspassa el layout* del circuit. Per a això es necessita paper de

revelat o una transparència. Aquí es dibuixaran totes les connexions, components,

etc., amb retolador permanent i es calcarà amb una planxa. Seguidament es

* LAYOUT: Capa en la qual surten tots els punts i connexions entre cadascun dels component.

Page 39: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

42

repassarà tres o quatre vegades. Més tard, s’introduirà en un recipient de plàstic o

cristall (resistent als àcids) amb una combinació de salfumant i aigua oxigenada, a

parts iguals, fins que es produeixi una reacció sobre el coure, eliminant el mateix de

tots els indrets menys als llocs on hi ha retolador. A continuació s’esborrarà el

retolador amb acetona i ja es podrà començar el procés de muntatge dels pins,

sòcols, etc.

La inestabilitat comentada anteriorment, es presenta fonamentalment als polsadors

que proporcionen senyals no gaire clars i a les connexions dels cables, ja que poden

trencar-se fàcilment. A conseqüència d’això es veu afectat tot el circuit. Per

solucionar aquest problema s’hauria de dissenyar un circuit molt més complex que

dificultaria tot el procés. Una altra solució possible seria dissenyar un circuit més

simple que no el que es presenta. Això facilitaria la posició dels components i potser

reduiria la seva imprecisió.

A l’hora d’escollir cada component i material per utilitzar, ha de tenir-se en compte

del pressupost del què es disposa. Els dispositius no suposen un preu gaire elevat,

però tot i així, és convenient fer un llistat que indiqui la descripció de tota la compra.

Els coneixements apresos a l’aula de tecnologia en els cursos anteriors (ESO) han

estat de molta utilitat a la part mecànica del projecte, és a dir, la construcció de la

maqueta.

Per acabar és convenient afegir que no s’han pogut plantejar noves hipòtesis, ja

que s’ha necessitat un temps considerable per acabar d’entendre com funcionava

cada xip i com dissenyar el circuit final i portar el mateix a la pràctica.

Page 40: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

43

8.- BIBLIOGRAFIA:

ALIANA I NICOLAU, Robert. Manual de pràctiques d’electrònica. Barcelona:

Generalitat de Catalunya. Quaderns experimentals - 1, març 1992.

BENCINI, Mauro; DOÑATE, Ignacio; ARTAL; Tomás. Electrònica Digital. Circuits

Combinacionals. Barcelona: Edebé, 1994.

CAÑIGUERAL I BARNÉS, Josep; REIXACH I OLIVÉ, Joan. Electrònica Bàsica i

electrònica de potència. Barcelona: Generalitat de Catalunya. Quaderns

experimentals – 4, octubre 1991.

CAPELL, Celestí. Electrònica viva. [en línia]. <http://www.xtec.es/~ccapell/>

[consulta: 08.10.2004].

CARACTERÍSTIQUES DE XIPS. [en línia].

< http://www.alldatasheet.net/datasheet/74-1.html> [consulta: 17.01.2005].

GUASCH, Miquel; BORREGO, Marina; JORDAN, Jordi. Electrotècnia. Aravaca

(Madrid): Ed. Mc Graw Hill, 2003.

JOSEPH, Joan; GARRAVÉ, Jaume; GARÓFANO, Francesc; HOYOS, Roger.

Tecnologia industrial 2. Aravaca (Madrid): Ed. Mc Graw Hill, 2004.

MILLÁN ESTELLER, Juan Manuel. Electrònica digital. [en línia].

<http://www.xtec.es/aulanet/ud/cf/electronica/index.htm> [consulta:

19.10.2004].

QUÈ ÉS L’ELECTRÒNICA? [en línia]. <http://webdiee.cem.itesm.mx/web/

servicios/archivo/tutoriales/protoboard/sld010.htm> [consulta: 11.10.2004].

TEORIA KARNAUGH. [en línia]. <http://www.dma.fi.upm.es/java/

matematicadiscreta/karnaugh/cabecera2.htm> [consulta: 19.10.2004].

Page 41: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

44

WOLF, Gerhard. Electrónica digital. Barcelona: Marcombo boixareu editores, 1983.

Page 42: ÍNDEXiesguillembergueda.xtec.cat › sem_tecno › ... › treball.pdf · Aquestes tres etapes requereixen, cadascuna d’elles, d’eines que és adient tenir en compte a l’hora

ELECTRÒNICA DIGITAL Noelia Santos Díaz

45

ANNEX: