grupo21_evaluaciongrupo.docx

23
SISTEMAS DIGITALES SECUENCIALES PROYECTO FINAL CARLOS EMEL RUIZ TUTOR STIVEN CARMELO NAVARRO C.C. 79.873.592 ALEXANDER CALDERON 79862755 CRISTIAN TORRES 79.992.506 UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

Upload: stiven-navarro

Post on 05-Dec-2014

119 views

Category:

Documents


7 download

TRANSCRIPT

Page 1: Grupo21_EvaluacionGrupo.docx

SISTEMAS DIGITALES SECUENCIALES

PROYECTO FINAL

CARLOS EMEL RUIZ

TUTOR

STIVEN CARMELO NAVARROC.C. 79.873.592

ALEXANDER CALDERON79862755

CRISTIAN TORRES79.992.506

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

ESCUELA DE CIENCIAS BASICAS, TECNOLOGIA E INGENIERIA

Programa de Ing. Electrónica

Bogotá, Diciembre de 2012

Page 2: Grupo21_EvaluacionGrupo.docx

INTRODUCCIÓN

El presente trabajo tiene como fin poner en práctica los conceptos vistos en el curso de sistemas digitales secuenciales. Lo cual tiene como finalidad diseñar e implementar un sistema de control de acceso y salida de un parqueadero.

El cual debe indicar accesos, ocupantes y cupos disponibles procesando estas variables y evidenciándolas en display 7 segmentos para que el operario del parqueadero tenga claridad de los espacios del parqueadero sin necesidad de desplazarse de la oficina.

En el desarrollo del diseño se implementaron sistemas combinacionales y secuenciales, y máquinas de estado entre otros y finalmente fue simulado mediante el programa PROTEUS.

Page 3: Grupo21_EvaluacionGrupo.docx

OBJETIVOS

Diseñar e implementar un sistema de control de acceso y salida de un parqueadero basándose en el estudio, análisis y aplicación de los Sistemas Digitales Secuenciales.

Sustentar el sistema de control de acceso y salida de un parqueadero de acuerdo con las variables establecidas.

Diseñar y simular el circuito para validar su correcto funcionamiento y solicitud del tutor con su respectivo análisis.

JUSTIFICACION

Page 4: Grupo21_EvaluacionGrupo.docx

Diseño e implementación de un sistema de control de parqueadero que verifique el acceso a tres zonas de parqueo de la UNAD, las zonas son identificadas como:

Zona A, Administrativos; zona B, Tutores y zona C, Estudiantes; cada zona tiene un cupo máximo de 10 usuarios.

CONSIDERACIONES:

Las zonas deben estar identificadas por separado, A: Administrativos, B: Tutores y C: Estudiantes.

En la entrada debe haber dispositivo (display 7 segmentos) que indique la disponibilidad de cupos en cada una de las zonas.

Cuando un usuario va a ingresar debe identificar la zona hacia donde se dirige, si hay cupo se autorizará la entrada y automáticamente se incrementará el número de usuarios de cada zona.

DISEÑO E IMPLEMENTACION

Page 5: Grupo21_EvaluacionGrupo.docx

DIAGRAMA DE FLUJO SIMBOLOGÍA ANSI

NO

SI

SI

NO

SI SI

NO

DIAGRAMA DE BLOQUES

Verifica ingreso y salida de vehículos

Hay cupos

Circuito control parqueadero

Ingresa Vehículo

Fin

Sensor derecho

Contador = 9

No más ingreso

enciende led

amarillo

Se permiten

más vehículos

Contador ++

Sensor Izquierdo

FIN

Sale vehículo

Contador - - A

A

B

BEl sistema presenta

error

Reset sistema se enciende led rojo

C

C

Page 6: Grupo21_EvaluacionGrupo.docx

El diagrama mostrado anteriormente ilustra un sistema capaz de contar el número de vehículos que pasan por un punto, suponiendo que solo puede pasar uno a la vez por la puerta de acceso. Las entradas del sistema son A, B, los cuales son dos sensores fotoeléctricos utilizados para detectar el movimiento de los vehículos a través de la entrada.

El diagrama de salidas de estos sensores (entradas del circuito general) se muestra a continuación:

A

B

El diseño consta de un control lógico secuencial que puede contar de 0 a 9 vehículos que ingresen por sector en el parqueadero y cuando dicho espacio sea A, B, este lleno se iluminará el LED de la señal FULL.

El resto de las entradas del circuito son: un pulsador RESET para iniciar acero el contador, otro pulsador AJUSTE para presentar, en forma manual, el número de vehiculos, y una señal CLK de reloj para sincronizar la máquina de estados.

El control lógico secuencial está formado por el bloque del circuito combinatorio y la unidad de memoria, donde sus salidas son: una señal D que ordena disminuir en 1 la cuenta, una señal I que incrementa en 1 el contador y un comando E de error que ilumina el LED respectivo. Este contador incrementa en 1 el valor mostrado en un display de 7segmentos cuando el movimiento es hacia la derecha ósea cuando el vehículo ingresa y disminuye la cuenta en 1 cuando el movimiento es hacia la izquierda, o cuando el vehículo sale.

Page 7: Grupo21_EvaluacionGrupo.docx

Además en caso de ocurrir una transición no esperada en las entradas A y B, se activará la señal de error E iluminando el LED indicador y reiniciando el sistema secuencial al estado inicial.

ESPECIFICACIONES DE DISEÑO

Un circuito secuencial consta, esencialmente, de elementos de memoria que retengan la trayectoria del sistema, así como de una etapa combinatoria, conectadas para formar un camino de retroalimentación y proporcionar las salidas y el próximo estado al que transitará el sistema.

El proyecto a realizar es precisamente un circuito secuencial, una máquina de estados. Se diseñó implementando una máquina de estados del tipo Moore para que las salidas E (error), D (disminuir) e I (incrementar) del control lógico dependieran únicamente del estado en el cual se encuentre el sistema. Nótese que el diseño podría ser realizado con una máquina de estados del tipo Mealy, en la cual la salida depende no solamente del estado en el que se encuentra el sistema, sino también de las entradas del mismo; no obstante, con la máquina Mealy existe la posibilidad de que las señales de salida no se activen adecuadas a las condiciones establecidas a causa de alguna entrada errónea que ingrese al sistema que impida que las transiciones entre estados se cumplan de la forma deseada, por lo cual se realizó el diseño de una máquina Moore para garantizar el funcionamiento óptimo del circuito.

En la sección anterior se mencionó que para que el contador incremente en1 el valor mostrado en el display el movimiento debe ser hacia la derecha, y debe disminuir cuando es hacia la izquierda. Para ambos casos, las salidas respectivas se activarán luego de que ambos sensores estén en alto activo, es decir, que la salida I será activada cuando el sistema tenga la siguiente secuencia de entradas de los sensores: AB: 00 10 11 01; mientras que la salida D se activará para la secuencia: 00 01 11 10 (ver figura 2). Haciendo una codificación de los estados mediante código gray, queda:

Secuencias para que el contador incremente o disminuya el valor mostrado en el display

En la figura anterior se ilustran las entradas A y B que puede recibir el sistema, por parte de los sensores, cuando el movimiento es de entrada o salida de algún vehículo.

Page 8: Grupo21_EvaluacionGrupo.docx

Cada entrada conduce a un estado determinado y al cumplirse la secuencia específica se activará la salida respectiva.

Ya se había mencionado en el diagrama de bloques general que el circuito cuenta con un Led indicador de error el cual advierte cualquier transición no esperada, como por ejemplo que un vehículo al estar saliendo del parqueadero por algún motivo retroceda o que uno de los dos sensores presente fallas; para realizar esta condición en el diseño con la máquina Moore solo basta con agregar un estado más, que en este caso es E: 100, para el cual se activará la salida ERROR del circuito. Cabe destacar que con una máquina Mealy no habría necesidad de añadir un estado extra para activar esta salida, puesto que ésta dependería de la entrada que reciba el sistema en un estado determinado y no únicamente del estado como tal; sin embargo, un diseño implementado con una máquina Mealy no es significativamente más simple que el realizado con una máquina Moore; a esto se suma la razón principal, la cual fue explicada anteriormente, por la cual resulta más conveniente implementar esta última.

Luego, de acuerdo a las transiciones mostradas en la figura anterior para cumplir con las secuencias de activación de I y D así como las transiciones no permitidas que activan la señal de ERROR, se procede a la realización del diagrama de estados del sistema, el cual se ilustra en la figura.

Máquina tipo Moore, donde las entradas son AB y las salidas EDI

Page 9: Grupo21_EvaluacionGrupo.docx

Con el diagrama de estados anterior se realiza la Tabla de Estados Futuros, para posteriormente obtener las ecuaciones de salida, las ecuaciones de estados futuros y las ecuaciones de excitación:

En base a la codificación de estados, la cual es de 3 bits, se puede observar que se necesitarán 3 biestables (flip-flop Q2, Q1, y Q0) Para el circuito.

En este caso se utilizarán biestables tipo D cuya ecuación de salida característica es:

D=Q t+1

Nótese en el diagrama de estados que cada vez que ocurre una transición no esperada que accione la señal de ERROR, la máquina se enclava en el estado “E: 100” con el fin de mantener activa dicha salida. Luego, para que el sistema pueda regresar a su normal funcionamiento, debe accionarse la entrada reset de los biestables (ver diagrama eléctrico).

Ecuaciones de salida:

Estas representan la sumatoria lógica de las condiciones para las cuales las salidas se activan, y éstas están en función de las entradas del circuito A y B y de las salidas Q de los biestables a utilizar.

Page 10: Grupo21_EvaluacionGrupo.docx

Para el error E:

E (Q2 ,Q1 ,Q 0 , A ,B )=∑(16,17,18,19)

Para el incremento I:

I (Q 2,Q 1 ,Q0 , A , B )=∑(8,9,10,11)

Para el incremento D:

D (Q2 ,Q1 ,Q0 , A ,B )=∑(20,21,22,23)

Ecuaciones de Estados Futuros:

Vienen a ser las sumatorias lógicas de las condiciones para las cuales se activan los estados futuros, y que serán la realimentación desde la unidad de memoria hasta el circuito combinatorio.

Q2t+1 (Q2 ,Q1,Q0 , A ,B )=∑¿ 21,22,23,24,25,26,27,28,29,30,31 ¿

Q1t+1 (Q2 ,Q1,Q0 , A ,B )=∑¿)

Q0t+1 (Q2 ,Q1,Q0 , A ,B )=∑¿)

Ecuaciones de Excitación

Las ecuaciones de excitación son las condiciones que serán las entradas de los biestables. Tal y como se mencionó antes, se utilizarán biestables tipo D cuya ecuación característica es D=Q t+1 quedando que las entradas de a cada uno de los biestables vienen dadas por:

D2 (Q2 ,Q1,Q0 , A ,B )=∑¿21,22,23,24,25,26,27,28,29,30,31 ¿

D1 (Q2 ,Q1,Q0 , A ,B )=∑(1,7,9,13,15,25,27,31)

D0 (Q2 ,Q1 ,Q0 , A ,B )=∑¿)

Estas últimas tres ecuaciones corresponden entonces a las entradas (salidas del circuito combinatorio) de los biestables tipo D que conforman el bloque de la unidad de memoria, sincronizada por la señal CLK de reloj.

Page 11: Grupo21_EvaluacionGrupo.docx

Para obtener la señal de reloj para sincronizar la máquina de estados basta con utilizar un CI 555 configurado como multivibrador astable. Esta configuración puede apreciarse en la figura, conjuntamente con la señal de salida generada por dicho circuito. Esta señal de salida será precisamente la entrada CLK que permitirá sincronizar el circuito secuencial. Donde t1 es el tiempo en nivel lógico alto, t2 el tiempo en nivel lógico bajo y T el periodo de la señal de reloj. Dichos tiempos vienen dados por las ecuaciones siguientes:

t 1=Ln (2 )∗(R1+R2 )∗C1

t 1=Ln (2 )∗R2∗C1

Configuración del CI 555 como multivibrador astable y su señal de salida de un multivibrador astable

Se requiere que la frecuencia de la señal sea lo suficientemente alta para que la máquina pueda realizar las transiciones a la velocidad de un automóvil cuando está pasando a través de la puerta de acceso. Por lo tanto, se seleccionarán valores bajos para R1, R2y C1con la intensión de que t1y t2 sean bajos, y así mismo el período de la señal T=t 1+t 2 también lo sea.

Asumiendo que R1=130Ω, R2=68Ω y C1=0.22µF (C2siempre se asume10nF), los cuales son todos valores comerciales normalizados, se obtienen los tiempos t1y t2 sustituyendo los valores en las ecuaciones 1 y 2:

t 1=30.19 μs

t2=10,36μs T=40.55 μS f=

1T

=24.66KHz

La cual es una frecuencia alta, satisfactoria para el diseño.

Page 12: Grupo21_EvaluacionGrupo.docx

Una vez obtenidas las ecuaciones lógicas de salida, de estados futuros y de excitación, así como el cálculo de la señal de reloj CLK, se procede a continuación al diseño finiquitado del bloque del circuito combinatorio. En éste las entradas son las señales de los sensores A y B y las realimentaciones Q2 , Q1y Q0 procedentes de la unidad de memoria. Dado que se está en presencia de cinco entradas (Q2,Q1, Q0, A, B), se deben interconectar dos decodificadores 4 a 16 para así obtener un decodificador 5 a 32.Para el montaje físico se empleará un CI 74154, el cual es un decodificador 4 a 16 que trabaja en bajo activo.

Debido a esto y dado que las ecuaciones están expresadas como sumas de productos, se utilizarán compuertas NAND (CI 7420, CI 74LS133 y CI 74LS30) para realizar las sumatorias lógicas respectivas. Esto es por supuesto aplicando el teorema de Morgan.

Lo cual permite escribir las sumas de productos como una operación NAND entre todas las variables negadas (negadas debido a la salida en bajo activo del decodificador) de cada ecuación.

Ya finalizado el diseño para el bloque del circuito secuencial, al ocurrir las transiciones de estados hacia la derecha o hacia la izquierda se producirá la presencia de un nivel lógico alto en la salida I o en la salida D del control lógico respectivamente. Estas salidas I y D son las entradas del circuito contador, el cual consta de un contador síncrono (CI 74LS192) y un decodificador BCD a 7segmentos (CI 74LS47); el contador presenta a su salida el número de personas que se encuentren dentro del local representado en forma binaria, éste valor es decodificado para ser mostrado en forma decimal a través de un display con 7segmentos de ánodo común.

Las otras dos entradas de este bloque son los pulsadores normalmente abiertos de AJUSTE y RESET, ambos conectados a Vcc.

El botón de AJUSTE permite incrementar en una unidad (1) el valor numérico que se encuentre a la salida del contador cada vez que es pulsado, mientras que el pulsador de RESET reinicia el contador al valor numérico cero (0) cada vez que es presionado.

Este bloque del circuito contador en conjunto con el display tienen una única salida, la señal FULL, que ilumina un Led amarillo para advertir que el parqueadero ha alcanzado su máxima capacidad de vehículos por zona, es decir, 10. Implementando una compuerta AND de 3 entradas (CI 74LS11) se conectan sus entradas a las salidas respectivas del contador (Q0y Q3 en este caso dado que 9 en binario se representa como 1001) de forma tal que el Led solo se ilumine cuando el display muestre el valor decimal 9.

En la siguiente sección se presentan los materiales necesarios para la realización del montaje físico del proyecto. La implementación del diseño se realizará mediante el uso de circuitos integrados de la familia TTL. El mismo se hace en el simulador para posteriormente montar el proyecto en físico. Los diodos leds que se utilizarán en el montaje real estarán precedidos por una resistencia limitadora de corriente.

MATERIALES REQUERIDOS PARA EL PROYECTO.

Page 13: Grupo21_EvaluacionGrupo.docx

Tres pulsadores Normalmente Abiertos Dos CI 74154 CI 74LS192 74LS47 Tres resistores de 1 KΩ Un display 7-segmentos de ánodo común Dos diodos led´s (amarillo y rojo) Dos resistores de 220 Ω Siete resistores de 330 Ω CI 74LS04 CI 74LS32 Dos sensores fotoeléctricos CI NE555 CI 74LS11 CI 74HC273 Cuatro CI 74LS30 Dos CI 74LS133 Resistores de 130 Ω y 68 Ω Capacitores de 0,22 µF y 10 nF Dos CI 7420

DIAGRAMA DEL SISTEMA

Page 14: Grupo21_EvaluacionGrupo.docx

PLANO PARQUEADERO

Se contemplan seis foto sensores, 2 por cada entrada a la zona de parqueo especifica los cuales como se explicó anterior mente uno detecta la parte de la derecha de la entrada y el otro detecta el movimiento en la parte izquierda.

Esto con el fin de aumentar o disminuir al el numero en el contador dependiendo del ingreso o retiro del parqueadero.

CIRCUITO

Page 15: Grupo21_EvaluacionGrupo.docx

Como se explico anteriormente el circuito esta diseñado con un solo display ya que solo es ejemplo de una de las zonas de parquo para las otras dos el circuito seria exactamente igual.

Cuando no hay más cupos el display cuenta hasta nueve y se enciende el led amarillo ya que el cero es contado como el primer automóvil ya que si no hay automóviles el circuito permanece apagado.

Page 16: Grupo21_EvaluacionGrupo.docx

El primer pulsador puede enviar los mismos datos que los sensores pero de forma manual, y el otro es para resetear el sistema.

El led rojo se enciende si hay algún error en el sistema.

Ejemplo (un carro este saliendo y el sensor lo detecte pero por algún motivo de reversa y lo detecte nuevamente ya que esta es una salida)

Page 17: Grupo21_EvaluacionGrupo.docx

CONCLUSIONES

Page 18: Grupo21_EvaluacionGrupo.docx

El desarrollo del diseño e implementación del circuito de la actividad propuesta nos prepara como ingenieros para entender la importancia de los circuitos secuenciales dentro del entorno industrial y profesional, implementando soluciones convergentes de ingeniería en los diferentes campos industriales y residenciales.

Del mismo modo se logró desarrollar y afianzar las competencias necesarias para la definición lógica de un problema dado y su posible solución teórica y física, y plasmar por medio de un diagrama de flujo su interpretación y con esto su desarrollo.

Page 19: Grupo21_EvaluacionGrupo.docx

REFERENCIAS

http://66.165.175.211/campus13/file.php/145/Modulo/Modulo_Sistemas_Digitales_Secuenciales_2010_B.pdf Recuperado el 01 de Diciembre de UNAD

http://www.forosdeelectronica.com/f25/ Recuperado el 05 Internet

http://www.ladelec.com/practicas/circuitos-digitales/452-contador-ascendente-descendente-0-9-para-parqueadero Recuperado el 05 Internet