vhdl organización y arquitectura

16
VHDL ORGANIZACIÓN Y ARQUITECTURA EDWIN AGUILERA TRIANA JUAN ANDRES SANCHEZ

Upload: juan-s-v

Post on 12-Apr-2017

906 views

Category:

Technology


1 download

TRANSCRIPT

Page 1: Vhdl organización y arquitectura

VHDL ORGANIZACIÓN Y ARQUITECTURA

EDWIN AGUILERA TRIANA

JUAN ANDRES SANCHEZ

Page 2: Vhdl organización y arquitectura

VHDL

VHDL es el acrónimo que representa la combinación de VHSIC y HDL, donde VHSIC es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description Language.

Page 3: Vhdl organización y arquitectura

Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) usado por ingenieros para describir circuitos digitales. Otros métodos para diseñar circuitos son la captura de esquemas y los diagramas de bloques, pero éstos no son prácticos en diseños complejos

VHDL

Page 4: Vhdl organización y arquitectura

ORGANIZACIÓN

La organización del un programa en VHDL esta formada por módulos o unidades de diseño, cada uno de ellos compuesto por un conjunto de declaraciones e instrucciones que definen, describen, estructuran y evalúan el comportamiento de un sistema digital

Page 5: Vhdl organización y arquitectura

Existen cinco tipos de unidades de diseño en VHDL:

Declaración (entity declaration)

Arquitectura(architecture)

Configuración (configuration)

Declaración del paquete del paquete(package declaration)

Cuerpo del paquete cuerpo del paquete (package body).

Cuando se trabaja en VHDL puede utilizarse tres de los cinco módulos pero solo dos de ellos deben utilizarse (entidad y entidad y arquitectura) ya que son indispensables para que funcione

Page 6: Vhdl organización y arquitectura

ENTIDAD

Una entidad(entity) es el bloque elemental de diseño en VHDL, Las entidades son todos los elementos electrónicos (sumadores, contadores, compuertas, flip-flops, memorias, multiplexores, etc.) que forman de manera individual o en conjunto un sistema digital.

Page 7: Vhdl organización y arquitectura

Descripción a nivel de compuertas.

Muestra la arquitectura de un sumador completo a nivel de compuertas

Page 8: Vhdl organización y arquitectura

Símbolo funcional de compuertas.

Representa a nivel de sistema indicando tan solo con las entradas (Cin, A, B), salidas (SUMA, Cout)

Page 9: Vhdl organización y arquitectura

Diagrama a bloques representativo de la entidad.

Representación mediante una identidad

Page 10: Vhdl organización y arquitectura

PUERTOS DE ENTRADA-SALIDA.

Cada una de las señales de entrada y salida en una entidad son referidas como puerto, el cual es similar a una terminal (pin) de un símbolo esquemático. Todos los puertos que son declarados deben tener un nombre, un modo y un tipo de dato.

Page 11: Vhdl organización y arquitectura

Comparador de igualdad

Page 12: Vhdl organización y arquitectura

MODOS

Un modo permite definir la dirección en la cual el dato es transferido a través de un puerto. Un modo puede tener uno de cuatro valores

Modo in: Se refiere a las señales de entrada a la entidad.

Modo out: Indica las señales de salida de la entidad

Modo inout. Permite declarar a un puerto de forma bidireccional es decir, de entrada/salida; además permite la retroalimentación de señales dentro o fuera de la entidad..

Modo buffer. Permite hacer retroalimentaciones internas dentro de la entidad, pero a diferencia del modo inout, el puerto declarado se comporta como una terminal de salida

Page 13: Vhdl organización y arquitectura

Modos y el curso de las señales

Page 14: Vhdl organización y arquitectura

TIPOS DE DATOS

Los tipos son los valores (datos) que el diseñador establece para los puertos de entrada y salida dentro de una entidad esto depende del programa que se necesite

Bit: este tiene valores de O y 1 lógico

Bolean (booleano) que define valores de verdadero o falso

Bit vector (vectores de bits) que representa un conjunto de para cada variable de entrada o salida.

Integer (entero) que representa un número entero

Page 15: Vhdl organización y arquitectura

DECLARACIÓN DE ENTIDADES

La declaración de una entidad consiste en la descripción de las entradas y salidas de un circuito de diseño identificado como entity(entidad); es decir, la declaración señala las terminales o pines de la entrada y salida con que cuenta la entidad de diseño

Page 16: Vhdl organización y arquitectura

ARQUITECTURAS

Una arquitectura (architecture) se define como la estructura que describe el funcionamiento de una entity, de tal forma que permita el desarrollo de los procedimientos que se llevarán a cabo con el fin de que la entidad cumpla las condiciones de funcionamiento deseadas. La gran ventaja que presenta VHDL para definir una arquitectura radica en la manera en que pueden describirse los diseños; es decir, mediante el algoritmo de programación empleado se puede describir desde el nivel de compuertas hasta sistemas complejos.