quartus vhdl simulacion

Download Quartus VHDL Simulacion

If you can't read please download the document

Upload: frank-rojas-rodas

Post on 02-Aug-2015

145 views

Category:

Documents


3 download

TRANSCRIPT

Pasos para capturar y Simular VHDL en Quartus.

1.- Ubicar el grupo de programas de ALTERA y hacer clic en QUARTUS II 7.0 WEB EDITION.

enseguida aparece la zona de trabajo:

2.- En el men FILE escoge NEW PROJECT WIZARD, aparece la siguiente ventana:

en la primer caja de texto escribe la ruta donde quedara almacenado tu proyecto, recuerda almacenar cada proyecto en una careta diferente, en este caso la carpeta se llama PRUEBA1; en las siguientes cajas de texto escribe el nombre del proyecto y el nombre del archivo principal, es recomendable que estos tres nombres sean los mismos. Hacer clic en NEXT. Si el directorio no existe, te preguntara si quieres crearlo, has clic en SI. 3.- En la siguiente ventana, se te pregunta si quieres agregar algn archivo que hayas realizado anteriormente, si es as selecciona la ruta y haz clic en ADD, de lo contrario haz clic en NEXT.

4.- Enseguida se te pide que selecciones el dispositivo que vas a programar, seleccinalo de la lista y haz clic en NEXT.

5.- La siguiente ventana pregunta acerca de herramientas de simulacin extras que se pudieran utilizar, dejamos sin seleccionar las tres y hacemos clic en NEXT.

6.- Por ltimo, aparece una ventana donde se resumen las acciones realizadas, haz clic en FINISH.

7.- Hasta aqu hemos creado la estructura bsica del proyecto, lo siguiente es crear el archivo VHDL haciendo clic en FILE -> NEW y seleccionar VHDL FILE, hacer clic en OK;

8.- Enseguida aparece un espacio en blanco en el que debes escribir el cdigo vhdl.

Project Navigator

Lugar para escribir cdigo

9.- Con el cdigo VHDL escrito, se debe guardar el archivo y verificar que se encuentre en la pestaa FILES del PROJECT NAVIGATOR

10.- Enseguida se debe compilar, esto en el men PROCESSING -> START COMPILATION o en el icono ventana: . Si no existe ningn error, aparece la siguiente

11.- La simulacin se inicia creando un archivo de vectores, FILE -> NEW -> OTHER FILES -> VECTOR WAVE FILE. Hacer clic en OK.

12.- Aparece la zona de vectores.

Herramientas de estimulos: 0, 1, clk, etc.

Nodos o Pines Zona de vectores o seales

13.- Agregar nodos haciendo doble clic en la zona de nodos. En la ventana que parece hacer clic en el botn NODE FINDER.

14.- En el NODE FINDER establecer los parmetros que se muestran en la ventana siguiente y hacer clic en LIST para que parezcan los nodos o puertos que contiene el diseo, seleccionar cada uno de los que se desean que aparezcan en la simulacin y hacer clic en el botn , o agregar todos al mismo tiempo con el botn clic en OK en esta ventana y en la siguiente que aparecer. . Hacer

15.- Enseguida aparece la zona de vectores o seales con los nodos que se agregaron.

16.- Seleccionar individualmente cada NODO y asignarle un estimulo, en este caso se trata de una compuerta AND, por lo que ara comprobar su funcionamiento para todas sus posibles combinaciones utilizaremos una seal de reloj (estimulo) de 200 ns y 400 ns mediante el icono OVERWRITE CLOCK, en el cuadro de texto PERIOD colocar el valor correspondiente.

17.- Si no se alcanza a apreciar el estimulo asignado a cada nodo es porque el zoom se encuentra muy cerca, selecciona la herramienta y haz clic con el botn secundario para alejarlo lo suficiente. Si el tiempo de anlisis no es el adecuado puedes ampliarlo en el men EDIT -> END TIME

18.- Guarda el archivo con el mismo nombre que el original, solo que la simulacin lleva la extensin VWF. 19.- Corre la simulacin y observa los resultados en el SIMULATION REPORT

Simulation Report Resultado de la simulacin

20.- Hasta aqu ya creaste y simulaste cdigo VHDL, en la pestaa FILES del PROJECT NAVIGATOR debe aparecer el archivo *.VHD y el *.VWF y si deseas puedes cerrar el QUARTUS. Recuerda que si quieres abrir de nuevo tu proyecto debes ir al men FILE -> OPEN PROJECT y seleccionar la ruta donde almacenaste el proyecto.