p1-ldl

18
O 7 de Mayo de 2014 PRÁCTICA #1: CARACTERIZACIÓN DE COMPUERTAS Equipo # 7: Camacho Peralta Jairo Jacobo 2112001600 Cruz Méndez Ana Victoria 2123000075 Soriano López Raúl Benjamín Departamento de Electrónica Profesor. Francisco Javier Sánchez Rangel Grupo CEL82 Trimestre 14-P

Upload: jacob-camacho

Post on 26-Dec-2015

40 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: P1-LDL

O

7 de Mayo de 2014

Equipo # 7:

Camacho Peralta Jairo Jacobo 2112001600Cruz Méndez Ana Victoria 2123000075

Soriano López Raúl Benjamín 2123068906

Departamento de Electrónica

Profesor. Francisco Javier Sánchez Rangel

Grupo CEL82

Trimestre 14-P

Page 2: P1-LDL

OBJETIVOS

1 Aprender a modelar funciones lógicas empleando interruptores.

2. Conocer algunas de las compuertas lógicas electrónicas utilizadas en los circuitos lógicos combinacionales.

3. Interpretar los diagramas esquemáticos y las características electrónicas de los circuitos integrados, proporcionados por el fabricante.

4. Conocer la relación entre los valores lógicos y niveles de voltaje de un circuito integrado.

5. Comprobar el funcionamiento de las distintas compuertas correspondientes a su tabla de verdad y función lógica.

6. Obtener el circuito equivalente de una compuerta derivada a partir de compuertas básicas.

7. Diseñar entidades de funciones lógicas mediante VHDL.

INTRODUCCIÓN TEÓRICA

Una compuerta lógica es el bloque de construcción básico de los sistemas digitales, éstas operan con números binarios.

En los circuitos digitales todos los voltajes, a excepción de los voltajes de las fuentes de potencia, se agrupan en dos posibles categorías: voltajes altos y voltajes bajos. No quiere decir esto que solo se encuentren dos voltajes, si no que cierto rango de voltajes se define como alto y otro cierto rango como bajos. Entre estos dos rangos de voltajes existen existe una denominada zona prohibida o de incertidumbre que los separa.Una tensión alta significa un 1 binario y una tensión baja significa un cero binario.

Todos los sistemas digitales se construyen utilizando tres compuertas lógicas básicas. Estas son las compuertas AND, OR, y NOT.

1

|

Page 3: P1-LDL

DESARROLLO TEÓRICO

1.- Describir la función y dar la tabla de verdad de las compuertas contenidas en los siguientes circuitos integrados: 74LS00, 74LS02, 74LS04, 74LS08, 74LS32, 74LS86.

A continuación se describe la función que contiene cada circuito integrado junto con su tabla de verdad correspondiente.

C.I. 74LS00 – Función NAND

Una compuerta NAND es un dispositivo lógico que opera en forma exactamente contraria a una compuerta AND, entregando una salida baja cuando todas sus entradas son altas y una salida alta mientras exista por lo menos un bajo a cualquiera de ellas.

Es decir, que la compuerta NAND también hace la función de multiplicación, pero entrega el valor negado. Esto es muy útil, dado que si estuviéramos usando una AND normal tendríamos que usar otro chip con un NOT para negar el resultado.

x y F0 0 10 1 11 0 11 1 0

C.I. 74LS02 - Función NOR

Podemos decir que este dispositivo lógico opera en forma exactamente opuesta a una puerta OR , entregando una salida alta cuando todas sus entradas son bajas y una salida baja cuando existe por lo menos un alto en cualquiera de ellas . Es decir, la compuerta NOR realiza la función de suma, pero entrega el resultado invertido, ahorrándonos un inversor. Su salida será 1 solo si las dos entradas son 0.

x y F0 0 10 1 01 0 01 1 0

74LS04 – Función NOT

La compuerta NOT o inversora, posee una entrada y una salida. Su función es producir una salida inversa o contraria a su entrada es decir convertir unos a ceros y ceros a unos

x F0 11 0

2

|

Page 4: P1-LDL

74LS08 – Función AND

La puerta AND es denominada la puerta de << Todo o Nada >>.

La compuerta AND hace la función de multiplicación lógica. Es decir toma los valores que le aplicamos a sus entradas y los multiplica.

x y F0 0 00 1 01 0 01 1 1

74LS32 – Función OR

La puerta OR se denomina como la puerta de << cualquiera o todo >>.

Esto se debe a que la compuerta OR realiza la función de suma lógica. Cuando se le aplica un uno a cualquiera de sus entradas el resultado de salida será uno, independiente del valor de la otra entrada. Excepto cuando las dos entradas estén en 0 la salida será 0.

Esto quiere decir que la compuerta OR realiza la función de suma lógica. Cuando se le aplica un uno a cualquiera de sus entradas el resultado de salida será uno, independiente del valor de la otra entrada. Excepto cuando las dos entradas estén en 0 la salida entonces será 0.

C y F0 0 00 1 11 0 11 1 1

74LS86 Función XOR (OR exclusiva)

La OR - exclusiva se denomina la puerta de << algunos pero no todos >>. El término OR - exclusiva con frecuencia se sustituye por XOR. Esta compuerta se comporta de una manera especial. Su característica especial es que el resultado de salida será 1 si las dos entradas son distintas, sean 0-1 ó 1-0.

x y F0 0 00 1 11 0 1

1 1 0

2. Buscar en el manual TTL las características eléctricas de los circuitos integrados mencionados anteriormente (VIH, VIL, VOH, VOL). Definir cada uno de los parámetros eléctricos anteriores.

Las puertas lógicas no son dispositivos ideales, por lo que se tiene una serie de limitaciones impuestas por el propio diseño interno de los dispositivos lógicos. Internamente la familia TTL emplea, mientras que la familia CMOS emplea transistores MOS (a lo que debe su bajo consumo).

Margen Del Cero

3

|

Page 5: P1-LDL

Es el rango de tensiones de entrada en que se considera un cero lógico:

VIL: tensión máxima/mínima que se admite como cero lógico.

Es el rango de tensiones de entrada en que se considera un uno lógico:

VIH: tensión máxima/mínima que se admite como uno lógico.

Otra limitante que se puede tener es la Amplitud Lógica

El ruido es el elemento más común que puede hacer que nuestro circuito no funcione habiendo sido diseñado perfectamente. El ruido puede ser inherente al propio circuito (como consecuencia de proximidad entre pistas o capacidades internas) o también como consecuencia de ruido exterior.

VOH y VOL son los niveles de tensión del uno y el cero respectivamente para la salida de la puerta lógica.

En la tabla siguiente se muestran los parámetros anteriores para los circuitos integrados ocupados en ésta práctica:

Circuito Integrado

VIH VIL VOH VOL Unidades

74LS00 2 0.8 2.7 0.5 Volts74LS02 2 0.8 2.7 0.5 Volts74LS04 2 0.8 2.7 0.5 Volts74LS08 2 0.8 2.7 0.5 Volts74LS32 2 0.8 2.7 0.5 Volts74LS86 2 0.8 2.7 0.5 Volts

ParámetrosVIH Máximo Voltaje de Entrada (Voltage Input High Level)VIL Mínimo Voltaje de Entrada (Voltage Input Low5 Level)

VOH Máximo Voltaje de Salida (Voltage Output High Level)VOL Mínimo Voltaje de Salida (Voltage Output Low Level)

3. Obtener un circuito lógico equivalente a una compuerta OR-Exclusiva (XOR) a partir de compuertas AND, OR, y NOT. Dibujar el diagrama lógico y de alambrado del circuito anterior, indicando claramente a que parte de los circuitos integrados corresponde cada conexión.

4

|

Page 6: P1-LDL

4. Expresar en VHDL una función lógica equivalente a la función OR-Exclusiva (XOR) a partir de funciones AND, OR, y NOT.

5

|

Page 7: P1-LDL

MATERIAL Y EQUIPO

MATERIAL:

Circuitos integrados: 74LS00, 74LS02, 74LS04, 74LS08, 74LS32, 74LS86. 5 LEDs. 5 interruptores dos polos un tiro. 5 resistencias de 220Ω a ¼ w Tablilla de conexiones. Alambre telefónico. Cables de conexiones de alimentación. Pinzas de punta, de corte y de pelar. Manual TTL data Book.

EQUIPO:

Fuente de voltaje de 5 V. Tarjeta de desarrollo NEXYS 3.

DESARROLLLO EXPERIMENTAL

6

|

Page 8: P1-LDL

Armar los circuitos que se muestran en la siguiente tabla, llenar las tablas de verdad y decir que función realiza cada uno.

CIRCUITO TABLA DE VERDAD

FUNCIÓN

a)

F=X

b) F=X’

c)

F= XY

d)

F=X + Y

Para los incisos anteriores, se armaron los circuitos correspondientes como se indicaba en el diagrama en la tabla anterior y se alimentaron cada uno con una fuente de voltaje C.D. de 5 volts.

a)

Con el armado de este circuito pudimos observar que cuando el interruptor está apagado, el led permanecía apagado, mientras que cuando lo prendíamos, el led encendía. De acuerdo a la conexión que se tiene con el interruptor de doble tiro y el led podemos inferir que el circuito corresponde a una función buffer, dado que el paso de corriente está pasando tal cual.

Armado de tablilla de experimentación

7

|

X FOFF 0ON 1

X FOFF 1ON 0

X Y FOFF OFF 0OFF ON 0ON OFF 0ON ON 1

X Y FOFF OFF 0OFF ON 1ON OFF 1ON ON 1

Page 9: P1-LDL

b)

Con el armado de este circuito pudimos observar que la función que podemos observar es un inversor el cual cuando es un 0 pasa un 1, y cuando X toma el valor de 1 , la función adquiere el valor de 0.

Armado de tablilla de experimentación

c)

Armamos el circuito como nos indica el diagrama y lo alimentamos con una fuente de voltaje C.D. de 5 volts observaremos que el paso de corriente de los interruptores esta en paralelo, es decir que si el primer interruptor cierra el circuito el led no prende, independientemente de si el otro no lo hace. El led únicamente prendera cuando ambos interruptores permitan el paso de corriente.

Armado de tablilla de experimentación

d)

Armamos el circuito como nos indica el diagrama y lo alimentamos con una fuente de voltaje C.D. de 5 volts observaremos que los interruptores de doble tiro están conectados de manera que podemos simular una compuerta OR, la cual toma cualquier camino o cuando los dos caminos estén activos para activar el LED e indicarnos que pasa el

Armado de tablilla de experimentación

8

|

Page 10: P1-LDL

voltaje a través del circuito.

9

|

Page 11: P1-LDL

ii) Obtener experimentalmente la tabla de verdad de una compuerta de cada uno de los circuitos integrados utilizados en la práctica.

Circuito Integrado Descripción Tabla de Verdada) C.I. 7400

Mediante el armado de C.I 7400 comprobamos la función NAND que la compuerta NAND también hace la función de multiplicación, pero entrega el valor negado.

X Y FOFF

OFF

1

OFF

ON 1

ON OFF

1

ON ON 0

b) C.I. 7402

la compuerta NOR realiza la función de suma, pero entrega el resultado invertido, ahorrándonos un inversor. Su salida será 1 solo si las dos entradas son 0.

X Y FOFF

OFF

1

OFF

ON 0

ON OFF

0

ON ON 0c) C.I. 7404

Su función es producir una salida inversa o contraria a su entrada es

decir convertir unos a ceros y ceros a unos

X FON 0OFF 1

d) C.I. 7408

Una compuerta AND tiene dos entradas como mínimo y su

operación lógica es un producto entre ambas, no

es un producto aritmético, aunque en este caso

coincidan.

10

|

X Y FOFF OFF 0OFF ON 0ON OFF 0ON ON 1

Page 12: P1-LDL

e) C.I. 7432

La compuerta OR realiza la función de suma lógica.

Cuando se le aplica un uno a cualquiera de sus entradas el resultado de salida será uno, independiente del valor de la otra entrada. Excepto cuando las dos entradas estén en 0 la

salida entonces será 0.

X Y FOFF

OFF

0

OFF

ON 0

ON OFF

0

ON ON 1

f) C.I. 7486

. Su característica especial es que el resultado de salida será 1 si las dos entradas son distintas, sean 0-1 ó 1-0.

X Y FOFF

OFF

0

OFF

ON 1

ON OFF

1

ON ON 0

iii) Obtener experimentalmente la tabla de verdad del circuito equivalente a una OR-Exclusiva (XOR) del inciso 3 del desarrollo teórico.

11

|

Page 13: P1-LDL

iv) Implementar en VHDL la función lógica equivalente a la función OR-Exclusiva (XOR) del inciso 4 del desarrollo teórico.

Primero, como se requiere en el uso de este lenguaje se procedió a la creación de un nuevo proyecto, una vez hecho se creó el módulo para proceder al desarrollo de la función.

En primer lugar se creó una entidad en dicho proyecto con el nombre F_XOR y se declararon los puertos A y B de entrada y F como puerto de salida, donde los tres solo eran de un bit.

Posteriormente se procedió a la declaración de la arquitectura de nombre F_OR con la sintaxis correspondiente y se asignaron los valores de A y B al puerto F para su correcta salida.

12

|

Page 14: P1-LDL

Finalmente se revisó la sintaxis y se procedió a generar el esquema RTL para poder ver la estructura sintetizada del circuito. Con esta opción de muestra una caja negra con los puertos de entrada y salida como se muestra a continuación:

13

|

Page 15: P1-LDL

Al hacer doble clic en el esquema RTL se pueden ver más a detalle los diferentes módulos que se utilizaron en el diseño del circuito, así como la jerarquía que estos tienen.

p

Para finalizar, se revisó nuevamente la sintaxis y se procedió a la simulación para verificar su correcto funcionamiento, quedando de la siguiente manera:

14

|

Page 16: P1-LDL

Posteriormente, se procedió a asignar los puertos que se usaron en la codificación del circuito para hacer su correcta asignación a los correspondientes a los de a tarjeta NEXYS 3

Implementación física de la compuerta XOR con compuertas básicas en NEXYS 3

15

|

Page 17: P1-LDL

CONCLUSIONES

Camacho Peralta Jacobo:

Cruz Méndez Ana:

Soriano López Raúl:

16

|

Page 18: P1-LDL

BILIOGRAFÍA

Dr. Schnadower Barán, Isaac. “Fundamentos de Diseño Digital y Modelado VHDL”, Editorial U.A.M, Primera Edición 2013.

RetroGames, “Compuertas Lógicas”, http://www.retrogames.cl/gates.html, 2007.Consultado en Internet el 27 de abril de 2014.

Ladelac.com, “Teoría, Electrónica Digital”, http://www.ladelec.com/teoria/electronica-digital/, Barranquilla, Colombia. 2006. Consultado en Internet el 27 de abril de 2014.

17

|