informe 1 de diseño digital

Upload: pperfectionn

Post on 09-Jan-2016

7 views

Category:

Documents


0 download

DESCRIPTION

informe de granados ez

TRANSCRIPT

INTRODUCCIN A LAS HERRAMIENTAS DE SNTESIS Y USO DEL ESTILO FLUJO DE DATOS PARA EL DISEO E IMPLEMENTACIN EN FPGA DE CIRCUITOS COMBINACIONALES

INTRODUCCIN A LAS HERRAMIENTAS DE SNTESIS Y USO DEL ESTILO FLUJO DE DATOS PARA EL DISEO E IMPLEMENTACIN EN FPGA DE CIRCUITOS COMBINACIONALES

RESOLUCION DE LOS PROBLEMAS PROPUESTOS DE DISEO DIGITAL LABORATORIO N1 y N2

1. Implemente un multiplicador de 4 bits por 4 bits.

TEXT EDITOR CODIGO EN VHDL

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity multi is Port( a,b : in std_logic_vector(3 downto 0); c : out std_logic_vector(7 downto 0));end multi;

architecture archimulti of multi isbeginc Bc. A = B

TEXT EDITOR CODIGO EN VHDLlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity comparador is Port ( A : in std_logic_vector(3 downto 0); B : in std_logic_vector(3 downto 0); IGU : out std_logic; MAY : out std_logic; MEN : out std_logic);end comparador;

architecture Behavioral of comparador isbeginprocess(A,B)beginMAY