unidad 4 lenguaje hdl pe isc

13
INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO PUERTO. PRINCIPIOS ELECTRÓNICOS LENGUAJE HDL RUBI VERONICA CHIMAL CUXIN NIELS ARANA CUEVAS

Upload: jhonatan-pat

Post on 16-Aug-2015

21 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Unidad 4 lenguaje hdl pe ISC

INSTITUTO TECNOLOGICO SUPERIOR DE FELIPE CARRILLO PUERTO.

PRINCIPIOS ELECTRÓNICOS

LENGUAJE HDL

RUBI VERONICA CHIMAL CUXIN

NIELS ARANA CUEVAS

Page 2: Unidad 4 lenguaje hdl pe ISC

LENGUAJES DE DESCRIPCIÓN DEL HARDWARE(HDL)

Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.

Se puede hacer una analogía con los que se pueden llamar lenguajes de descripción de software:

Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas electrónicos discretos.

• Se utilizan en la fase de diseño

• Necesidad de Simulador lógico

– Herramienta necesaria para reproducir el comportamiento del sistema modelado

– Permite la verificación del sistema diseñado.

Lenguajes de descripción de hardware (HDLs).

Síntesis lógica

Page 3: Unidad 4 lenguaje hdl pe ISC

Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una implementación tecnológica.

El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de realizar las descripciones con HDLs.

No se pueden utilizar todas las construcciones de los lenguajes, y las que están permitidas hay que utilizarlas adecuadamente.

Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos.

Page 4: Unidad 4 lenguaje hdl pe ISC

Ventajas del uso de HLDs

El lenguaje es independiente de la tecnología:

– El mismo modelo puede ser sintetizado en librerías de distintos vendedores.

– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnología es mucho más rápida.

– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo mínimo.

Soportan tres estilos de descripción básicos:

– Descripción comportamental (behavioral)

– Descripción de flujo de datos (data-flow)

– Descripción estructural (estructural)

Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.

La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con un esfuerzo mucho menor que si se realizase en fases posteriores.

Soporta modelos de tiempos síncronos y asíncronos.

Posibilidad de implementar distintas técnicas de modelado digital (descripciones de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y ecuaciones Booleanas

El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).

Page 5: Unidad 4 lenguaje hdl pe ISC

INCONVENIENTES DEL USO DE HDLS

Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar como nueva metodología.

Necesaria la adquisición de nuevas herramientas:

– Simuladores– Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseño.

El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto físico del diseño, dándole una mayor importancia a la funcionalidad de dicho diseño.

LENGUAJES DE DESCRIPCIÓN DE HARDWARE

En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japón.

VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza.

Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en 1995.

SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se publicó en 1999.

VHDL: CARACTERÍSTICAS GENERALESVHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de alto nivel de propósito general (ADA en especial): de ellos hereda:

Concepto de tipo de datos, con posibilidad de definir nuevos tipos → facilita la descripción de circuitos con diversos niveles de abstracción.

Sentencias de control de flujos (if, for while). Junto con la característica anterior → potencia para desarrollar algoritmos.

Capacidad de estructurar el código (subprogramas, funciones o procedimiento), permite afrontar algoritmos complejos.

Page 6: Unidad 4 lenguaje hdl pe ISC

MODELO DEL HW

Modelo de tiempo: ciclo de simulación

¿Para qué sirve el VHDL?

Page 7: Unidad 4 lenguaje hdl pe ISC

SISTEMAS DIGITALES

PORTS: Puertos de una entidad

Page 8: Unidad 4 lenguaje hdl pe ISC

PORTS: Modos de un puerto

Una señal que es bidireccional, entrada/salida de la entidad.

El problema de la concurrencia del HW

Page 9: Unidad 4 lenguaje hdl pe ISC

Concurrencia: Una posible solución

Page 10: Unidad 4 lenguaje hdl pe ISC

CONCLUSION

 El HDL es una herramienta para el diseño y documentación sobre los circuitos integrados, es una de las principales características de este la capacidad de estos para describir en distintos niveles de abstracción, estos, desde un punto de vista de simulación y síntesis del circuito, como un algoritmo se refiere a la relación funcional entre las entradas y salidas del circuito, sin hacer referencia a la realización final. Y entrando a lógico y de compuertas este se expresa de forma muy parecida en un lenguaje de programación de software, describía las relaciones entre las entradas y salidas de un circuito, por lo cual podía utilizarse para simular diseños pero no para sintetizarlos. Todo esto nos ayudó para la realización del circuito y podría ser necesario en un futuro laboral.