tarea2 (1)

29
Ciclo I -2014 UNIVERSIDAD DE EL SALVADOR FACULTAD DE INGENIERÍA DE SISTEMAS INFORMÁTICOS ESCUELA DE INGENIERÍA ELECTRICA SISTEMAS DIGITALES “Convertidor de códigos con compuertas básicas” | SDU-115 INTEGRANTES: Joseline Alicia Rodríguez Campos _______RC10116 Irvin Yonany Leiva Peñate _____________LP10005 Instructor: Ing. Salvador Germán Grupo Teórico: 01 Grupo de discusión: 01 Fecha de entrega: Miércoles 30 de Abril de 2014.

Upload: mar-escobar

Post on 28-Sep-2015

262 views

Category:

Documents


2 download

TRANSCRIPT

  • Ciclo I -2014

    UNIVERSIDAD DE EL SALVADOR FACULTAD DE INGENIERA DE SISTEMAS INFORMTICOS

    ESCUELA DE INGENIERA ELECTRICA SISTEMAS DIGITALES

    Convertidor de cdigos con compuertas bsicas | SDU-115

    INTEGRANTES: Joseline Alicia Rodrguez Campos _______RC10116

    Irvin Yonany Leiva Peate _____________LP10005

    Instructor: Ing. Salvador Germn

    Grupo Terico: 01

    Grupo de discusin: 01

    Fecha de entrega:

    Mircoles 30 de Abril de 2014.

  • 1

    Contenido Introduccin ........................................................................................................................................ 2

    Objetivos ............................................................................................................................................. 3

    Objetivos Generales: ........................................................................................................................... 3

    Objetivos Especificos ........................................................................................................................... 3

    Marco Terico ..................................................................................................................................... 4

    Descripcin de los pasos seguidos para la solucin de circuito 1 ................................................... 9

    Tablas de verdad y ecuaciones generadas .................................................................................... 10

    Cdigo VHDL circuito 1 .................................................................................................................. 13

    Grficos en TINA del circuito 1 ...................................................................................................... 13

    Descripcin de los pasos seguidos para la solucin de circuito 2 ................................................. 15

    Tablas de verdad y ecuaciones generadas .................................................................................... 15

    Cdigo VHDL del circuito 2 ............................................................................................................ 18

    Grficos en TINA del circuito 2 ...................................................................................................... 19

    Resultados de la investigacin .......................................................................................................... 21

    Libreras existentes para VHDL ............................................................................................... 23

    Observaciones ................................................................................................................................... 26

    Conclusiones ..................................................................................................................................... 27

    Bibliografa ........................................................................................................................................ 28

  • 2

    Introduccin

    En presente trabajo se desarrollaran dos circuitos haciendo uso de la poderosa herramienta

    de simulacin de circuitos electrnicos y digitales TINA, cabe mencionar que esta es una

    herramienta factible para el estudio de la asignatura y se adapta muy bien al contenido de

    la misma.

    Explicaremos de la forma ms sencilla como emplear las herramientas disponibles en tina

    como por ejemplo: Displays, switchs, entre otros, as mismo nos hemos apoyado en las

    disponibilidades bsicas que proporciona VHDL para crear los elementos mencionados en

    TINA, con base a esto creamos los circuitos desarrollados en la presente tarea.

    Las partes presentadas en este trabajo son: En el primer punto describimos el

    funcionamiento del software de TINA V8, describimos los pasos utilizados en la instalacin

    del mismo, desarrollamos los circuitos convertidor de cdigos BCD(8421) a un display de

    siete segmentos y un convertidor de cdigos BCD a 8421 un , asimismo hemos descrito los

    pasos de nuestra soluciones a los problemas, presentamos los cdigos VHDL e imgenes

    de su buen funcionamiento.

  • 3

    Objetivos

    Objetivos Generales: Conocer el uso de las potentes herramientas de simulacin para la verificacin del

    buen funcionamiento de cdigo escrito en VHDL (Very High Speed Integrated

    Circuit Hardware Description Language) para tal caso ocuparemos TINA.

    Aprender ms sobre el uso el uso del lenguaje VHDL y herramientas de simulacin como

    TINA.

    Objetivos Especificos

    Utilizar el lenguaje de programacin VHDL para el desarrollo de un convertidor de

    cdigo 8421 a 7 segmentos y de un convertidor de todos los cdigos BCD a 8421.

    Usar adecuadamente el software de simulacin TINA para el desarrollo de los

    circuitos establecidos.

    Crear componentes usando cdigo VHDL

  • 4

    Marco Terico

    Software de simulacin tina

    TINA es un software de simulacin de circuitos elctricos fcil de usar, pero potente,

    basado en un motor de SPICE.

    TINA se limita a los circuitos con dos circuitos integrados y hasta 20 nodos adicionales (es

    decir en un mismo esquemtico pueden simularse dos circuitos diferentes, lo que es una

    ventaja con respecto a otros programas similares).

    TINA tiene una amplia capacidad de procesamiento que le permite dar formato a los

    resultados de la manera que usted desee. Posee instrumentos virtuales que le permiten

    seleccionar las formas de onda de entrada, el circuito, los nodos, las tensiones, las

    corrientes, los componentes deseados, etc. Es as como este software permite representar

    circuitos elctricos de una manera sencilla y rpida, lo que permite analizar los resultados

    antes de haber construido el circuito en el laboratorio.

    TINA es un potente pero accesible paquete de programas para analizar, disear y probar

    en tiempo real circuitos

    analgicos, digitales, VHDL,

    MCU, electrnicos mixtos y sus

    circuitos impresos (PCB).

    Puede tambin analizar

    circuitos RF, de comunicacin,

    opto electrnicos y probar y

    depurar aplicaciones de micro

    controladores. TINA posee una

    caracterstica nica que permite animar un circuito mediante el hardware opcional

    TINALab II, con conexin USB que convierte a su computadora en un inigualable

    instrumento multifuncin de prueba y medicin (T&M).

  • 5

    Tina es factible en lo que son ingresos de circuito, lo cual requiere de pocos minutos con

    el sencillo editor de esquemas de TINA. Mejora sus esquemas agregando texto y

    elementos grficos tales como lneas, arcos, flechas, marcos y bloques de ttulos. Tenemos

    la opcin de elegir las componentes de la amplia biblioteca que contiene ms de 20.000

    modelos de fbrica. Podemos verificar los errores de los esquemas con las funciones

    avanzadas ERC de TINA. El editor esquemtico admite diseos jerrquicos complejos,

    diseo en equipo y control de versin.

    Editor de esquemas

    Con referencia al editor de barra de Herramientas de componentes usted puede adicionar

    sus propios componentes a la barra de herramientas de TINA. Ahora usted puede crear

    nuevos grupos de componentes, de botones y podr mover el grupo de componentes y

    botones a cualquier lugar de la barra de herramientas.

    EDITOR DE COMPONENTES

    Cabe volver a mencionar acerca de las libreras de TINA incluye, que son ms de 20,000

    componentes los cuales pueden ser modificados por el usuario.

  • 6

    Los modelos de componentes incluidos son:

    Componentes Pasivos: resistor, potencimetro, capacitores, capacitores cargados,

    inductores, inductores de almacenamiento de energa, inductores acoplados,

    transformadores, inductores y transformadores no lineales, lneas de transmisin, diodos,

    diodos Zener, diodos varicap, diodos Schottky, diodos LED (diodos emisores de luz),

    lmparas, dnamos, fusibles, rels.

    Componentes activos: transistor bipolar, (NPN y PNP), transistores MOS (modo mejora y

    agotamiento, canales N y P), JFET (canales N y P), tiristor, triac, diac, amplificador,

    amplificador operacional ideal, amplificador operacional.

    Componentes RF: capacitores RF, inductores, diodos, transistores bipolares y MOS con

    modelos de Parmetros S y Spice.

    Fuentes: fuente de corriente, fuente de voltaje, generador de corriente, generador de

    voltaje, fuentes controladas (CCCS, VCCS, CCVS, VCVS), fuente de pulsos digitales, reloj

    digital.

    Puertas: bfer, bfer de triple estado, inversor, inversor de Schmidt, AND (2, 3, y 4

    entradas), OR (2, 3, y 4 entradas), NAND (2, 3 y 4 entradas), NOR (2, 3, y 4 entradas), y

    XOR.

    Flip-flops: cierre D, flip-flop D, flip-flop SR, flip-flop JK.

    Instrumentos de medicin: medidor de voltaje, circuito abierto, pin de voltaje, punto de

    prueba (para mediciones a tiempo real), medidor de amperes, flecha de corriente,

    medidor de energa, medidor de impedancia.

    Otros componentes: interruptor de tiempo controlado, interruptor de voltaje controlado,

    convertidor de AD y DA, familia lgica de 74000, familia lgica de 4000, reloj, bloques de

  • 7

    control analgicos, resistor de pull-up, indicador, presentacin en siete segmentos,

    teclado numrico.

    Modelos de fbrica: modelos Spice de fabricantes lderes en semiconductores, Analog

    Devices, Burr-Brown, Motorola, National Semiconductor, Texas Instruments, Zetex y otros.

    Tiene un diseo Integrado de PCB.

    Este nuevo mdulo de diseo totalmente integrado de TINA posee todas las

    caractersticas necesarias para el diseo avanzado de PCB, incluyendo PCB de Capas

    mltiples con capas de planos de alimentacin (elctrica) separadas, poderosas

    herramientas para ubicacin y enrutamiento automticos, para rip-up and reroute

    (cortar y re-enrutar), para ubicacin de trazado manual y follow-me, DRC (Verificacin

    de Reglas de Diseo), anotaciones anteriores y posteriores, intercambio de pines y

    puertas, reas de inclusin y exclusin, vertido de cobre, proteccin trmica, fan-out

    (cargabilidad de salida), vista en 3D desde cualquier ngulo, creacin de archivos Gerber, y

    mucho ms.

    Es un Instrumentos Virtuales: Osciloscopio, Generador de Funcin, Multmetro, Analizador

    de Seales/Planos de Bode, Analizador de Redes, Analizador de Espectros, Analizador

    Lgico, Generador de Seales Digitales, Registrador de XY.

    Presenta mediciones en Tiempo Real, TINA es mucho ms que un programa de simulacin

    con mediciones virtuales. Puede instalar el hardware suplementario opcional que permite

    mediciones en tiempo real controladas por los instrumentos virtuales en pantalla de TINA.

    Presenta Instrumento Multifuncin de TINALab II. TINALab II se puede usar con el

    programa de simulacin de circuitos de TINA para comparacin de simulaciones y

    mediciones, como una herramienta nica para el desarrollo de circuitos, la resolucin de

    problemas y el estudio de electrnica analgica y digital.

  • 8

    Anlisis de un Circuito Digital con Simulacin VHDL Digital

    VHDL (Lenguaje de Descripcin de Hardware Virtual) es un lenguaje estndar de

    descripcin de hardware del IEEE (Instituto de Ingenieros Elctricos y Electrnicos) usado

    por los diseadores electrnicos para describir y simular chips y sistemas antes de su

    fabricacin.

    TINA v7 y sus versiones superiores ahora tambin incluye un potente motor de simulacin

    VHDL digital.

    En TINA cualquier circuito digital puede convertirse automticamente en cdigo VHDL y

    analizarse como un diseo VHDL. Adems, puede analizar una amplia variedad de

    hardware disponible en VHDL y definir en VHDL sus propios componentes digitales y

    hardware. La gran ventaja del VHDL es que adems de ser un lenguaje estndar de

    descripcin de hardware del IEEE, sino que puede materializarse automticamente en

    dispositivos de lgica programable tales como FPGA y CPLD.

    TINA puede generar cdigo VHDL sintetizable junto con el archivo UCF correspondiente si

    est activada la casilla de verificacin Generar cdigo sintetizable en el men

    Anlisis/Opciones. Puede guardar los archivos VHD y UCF creados con el comando Crear

    archivo VHD & UCF en el men T&M. Puede leer los archivos con el programa gratuito

    Webpack de Xilinx y generar el archivo de flujo de bits que describe la implementacin del

    diseo y luego cargarlo a los chips FPGA de Xilinx

  • 9

    Desarrollo de los circuitos:

    Descripcin de los pasos seguidos para la solucin de circuito 1

    Se desarroll y se simulo un

    convertidor de cdigo 8421 a 7

    segmentos utilizando TINA como

    simulador y un display de 7

    segmentos como se muestra en la

    figura.

    La primera etapa para la solucin de este circuito es entender la lgica de

    funcionamiento de este, es decir plantearnos el problema y resolverlo aplicando los

    mtodos expuestos en la clase.

  • 10

    Tablas de verdad y ecuaciones generadas

    Paso 1: Como primer paso se gener la tabla de verdad partiendo del enunciado del problema.

    NOTA: Ya que se ha utilizado el display con el que cuenta

    TINA ha sido necesario simbolizar con cero el segmento que

    esta encendido y con uno los segmentos apagados.

    =(1,4)

    =(5,6,10 15)

    =(2,10 15)

    =(1,4,7)

    =(1,3 5,7,9)

    =(1 3,7)

    =(0,1)

    W X Y Z a b c d e f g

    0 0 0 0 0 0 0 0 0 0 0 1

    1 0 0 0 1 1 0 0 1 1 1 1

    2 0 0 1 0 0 0 1 0 0 1 0

    3 0 0 1 1 0 0 0 0 1 1 0

    4 0 1 0 0 1 0 0 1 1 0 0

    5 0 1 0 1 0 1 0 0 1 0 0

    6 0 1 1 0 0 1 0 0 0 0 0

    7 0 1 1 1 0 0 0 1 1 1 0

    8 1 0 0 0 0 0 0 0 0 0 0

    9 1 0 0 1 0 0 0 0 1 0 0

    10 1 0 1 0 0 1 1 0 0 0 0

    11 1 0 1 1 0 1 1 0 0 0 0

    12 1 1 0 0 0 1 1 0 0 0 0

    13 1 1 0 1 0 1 1 0 0 0 0

    14 1 1 1 0 0 1 1 0 0 0 0

    15 1 1 1 1 0 1 1 0 0 0 0

  • 11

    Paso 2: Ahora ya con las ecuaciones obtenidas se procedi a hacer la respectiva simplificacin

    usando mapas K (Los mapas K no presentan debido a que el principal objetivo de la materia no es

    la simplificacin).

    Salida a

    = + Z

    Salida b

    = + + +

    Salida c

    = + +

    Salida d

    = + +

  • 12

    Salida e

    = + +

    Salida f

    = + +

    Salida g

    =

  • 13

    Cdigo VHDL circuito 1

    Con estas ecuaciones lgicas se implement el cdigo en VHDL el cual se muestra a continuacin.

    ENTITY conv_8421_7segm IS PORT( W,X,Y,Z : IN BIT; A,B,C,D,E,F,G : OUT BIT); END conv_8421_7segm; ARCHITECTURE arch_conv_8421_7segm OF conv_8421_7segm IS BEGIN A

  • 14

    Entrada 5

    Entrada 9

  • 15

    Entrada 15

    Descripcin de los pasos seguidos para la solucin de circuito 2 Se desarroll un convertidor de cdigos BCD: 2421, 5421, 7421 y Exceso3, todos al cdigo BCD 8421, A Y B sern de control, es decir, 00=7421 a 8421, 01=Exceso-3 a 8421, 10=2421 a 8421 y 11= 5421 a 8421, y 4 entradas (C,D,E,F) las cuales sern el cdigo BCD que se convertir; y las salidas sern los 4 bits del cdigo 8421, se rellenara con ceros los cdigos no vlidos.

    Tablas de verdad y ecuaciones generadas

    Paso 1: Se genera la tabla de verdad de donde saldrn las ecuaciones para resolver el problema.

    No A B C D E F W X Y Z

    0 0 0 0 0 0 0 0 0 0 0

    1 0 0 0 0 0 1 0 0 0 1

    2 0 0 0 0 1 0 0 0 1 0

    3 0 0 0 0 1 1 0 0 1 1

    4 0 0 0 1 0 0 0 1 0 0

    5 0 0 0 1 0 1 0 1 0 1

    6 0 0 0 1 1 0 0 1 1 0

    7 0 0 0 1 1 1 0 0 0 0

    8 0 0 1 0 0 0 0 1 1 1

    9 0 0 1 0 0 1 1 0 0 0

    10 0 0 1 0 1 0 1 0 0 1

    11 0 0 1 0 1 1 0 0 0 0

    12 0 0 1 1 0 0 0 0 0 0

    13 0 0 1 1 0 1 0 0 0 0

    14 0 0 1 1 1 0 0 0 0 0

    15 0 0 1 1 1 1 0 0 0 0

    16 0 1 0 0 0 0 0 0 0 0

    17 0 1 0 0 0 1 0 0 0 0

    18 0 1 0 0 1 0 0 0 0 0

    19 0 1 0 0 1 1 0 0 0 0

    20 0 1 0 1 0 0 0 0 0 1

    21 0 1 0 1 0 1 0 0 1 0

    22 0 1 0 1 1 0 0 0 1 1

    23 0 1 0 1 1 1 0 1 0 0

    24 0 1 1 0 0 0 0 1 0 1

    25 0 1 1 0 0 1 0 1 1 0

    26 0 1 1 0 1 0 0 1 1 1

    27 0 1 1 0 1 1 1 0 0 0

  • 16

    28 0 1 1 1 0 0 1 0 0 1

    29 0 1 1 1 0 1 0 0 0 0

    30 0 1 1 1 1 0 0 0 0 0

    31 0 1 1 1 1 1 0 0 0 0

    32 1 0 0 0 0 0 0 0 0 0

    33 1 0 0 0 0 1 0 0 0 1

    34 1 0 0 0 1 0 0 0 1 0

    35 1 0 0 0 1 1 0 0 1 1

    36 1 0 0 1 0 0 0 1 0 0

    37 1 0 0 1 0 1 0 0 0 0

    38 1 0 0 1 1 0 0 0 0 0

    39 1 0 0 1 1 1 0 0 0 0

    40 1 0 1 0 0 0 0 0 0 0

    41 1 0 1 0 0 1 0 0 0 0

    42 1 0 1 0 1 0 0 0 0 0

    43 1 0 1 0 1 1 0 1 0 1

    44 1 0 1 1 0 0 0 1 1 0

    45 1 0 1 1 0 1 0 1 1 1

    46 1 0 1 1 1 0 1 0 0 0

    47 1 0 1 1 1 1 1 0 0 1

    48 1 1 0 0 0 0 0 0 0 0

    49 1 1 0 0 0 1 0 0 0 1

    50 1 1 0 0 1 0 0 0 1 0

    51 1 1 0 0 1 1 0 0 1 1

    52 1 1 0 1 0 0 0 1 0 0

    53 1 1 0 1 0 1 0 0 0 0

    54 1 1 0 1 1 0 0 0 0 0

    55 1 1 0 1 1 1 0 0 0 0

    56 1 1 1 0 0 0 0 1 0 1

    57 1 1 1 0 0 1 0 1 1 0

    58 1 1 1 0 1 0 0 1 1 1

    59 1 1 1 0 1 1 1 0 0 0

    60 1 1 1 1 0 0 1 0 0 1

    61 1 1 1 1 0 1 0 0 0 0

    62 1 1 1 1 1 0 0 0 0 0

    63 1 1 1 1 1 1 0 0 0 0

    =(9,10,27,28,46,47,5960)

    =(4 6,8,23 26,36,43 45)

    =(2, 3, 6,8,21,22,25,26,34,35,44,45,50,51,57,58)

    =(1, 3, 5,8,10,20,22,24,26,28,33,35,43,45,47,49,51,56,58,60)

    Paso 2: Ahora ya con las ecuaciones obtenidas se procedi a hacer la respectiva simplificacin

    usando mapas K (Los mapas K no presentan debido a que el principal objetivo de la materia no es la

    simplificacin).

  • 17

    = + + + +

    = + + + +

    + + +

    +

    = + + + +

    + + +

    = + + + + +

    + + +

  • 18

    Con estas ecuaciones lgicas se implement el cdigo en VHDL el cual se muestra a continuacin.

    Cdigo VHDL del circuito 2

    entity conv_bcd_a_8421 is

    Port (

    A,B,C,D,E,F : IN BIT;

    W,X,Y,Z : OUT BIT

    );

    end conv_bcd_a_8421;

    architecture arch_conv_bcd_a_8421 of conv_bcd_a_8421 is

    begin

    W

  • 19

    Grficos en TINA del circuito 2

    Entrada 001000 (7 en 7421).

    Entrada 011001 (6 en exceso-3).

    Entrada 101011 (5 en 2421).

  • 20

    Entrada 111010 (7 en 5421).

  • 21

    Resultados de la investigacin VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es el

    acrnimo de Very High Speed Integrated Circuit y HDL es a su vez el acrnimo de Hardware

    Description Language.

    Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers)

    (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros

    mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los

    diagramas de bloques, pero stos no son prcticos en diseos complejos. Otros lenguajes

    para el mismo propsito son Verilog y ABEL.

    Aunque puede ser usado de forma general para describir cualquier circuito se usa

    principalmente para programar PLD (Programable Logic Device - Dispositivo Lgico

    Programable), FPGA (Field Programmable Gate Array), ASIC y similares.

    Caractersticas y Ventajas de VHDL

    Sirve como herramienta de diseo lgico, posibilitando la documentacin de los proyectos

    y su reutilizacin.

    Sirve como herramienta de especificacin de proyectos.

    Permite generar proyectos con estructura del tipo jerrquica.

    Posibilita modelizar el concepto de tiempo.

  • 22

    Permite describir mdulos con acciones que sern evaluadas luego en forma secuencial.

    Permite la parametrizacin de componentes y portabilidad de los diseos para

    independizarse de la tecnologa.

    Permite implementacin de test-bench para simulacin de diseos.

    Estructuras en VHDL:

    Entity: Define la vista externa de un modelo.

    Architecture: Define una posible funcionalidad de un modelo.

    Library: Contiene un listado de todas las libreras utilizadas en el diseo.

    Package: Es una forma para almacenar y usar informacin til que describe a un modelo

    (relacionada con Library).

    Una librera se compone de dos partes bien diferenciadas, dependiendo de las unidades

    que la formen. Por un lado, estn las unidades primarias, que correspondern a entidades,

    paquetes y archivos de configuracin. Mientras que las unidades secundarias sern

    arquitecturas y cuerpos de paquetes. Por lo tanto, se puede sacar la conclusin de que

    cada unidad secundaria deber estar asociada con una unidad primaria.

    Al realizar una compilacin se analizarn las unidades que vayan apareciendo en el texto.

    Por consiguiente, es importante establecer un orden lgico de las distintas unidades, para

  • 23

    que de esta forma se puedan cumplir las dependencias existentes entre las mismas. La

    forma que toma la librera una vez compilada es muy diversa; dependiendo de la

    herramienta de compilacin utilizada as ser el resultado obtenido, esto se debe a que en

    VHDL no existe un estndar para crear bibliotecas.

    Para incluir una librera a un diseo basta con utilizar la palabra

    reservada LIBRARY seguida del nombre de la biblioteca a utilizar. Adems, tambin es

    posible hacer visibles elementos internos de estas bibliotecas con el uso de la

    sentencia USE, como se explic en el apartado anterior. En el caso de querer hacer visible

    todos los elementos de un paquete se puede utilizar la palabra reservada ALL.

    Una librera es una coleccin de piezas de cdigo usualmente empleadas.

    Esto permite poder reusar esas piezas compartirlas con otros diseos.

    Sintxis:

    LIBRARY ;

    USE ;

    Ejemplo: LIBRARY ieee;

    USE ieee.std_logic_1164;

    El cdigo es escrito en forma de:

    Funciones (Functions), Procesos (Process), Procedimientos (Procedures) o Componentes

    (Components) y luego ubicados dentro de Paquetes (Packages) para ser compilados

    dentro de la Librera destino.

    Libreras existentes para VHDL

    Libreras ms comunes del paquete VHDL

    LIBRARY ieee;

    USE ieee.std_logic_1164.all;

    USE ieee.std_logic_arith.all;

  • 24

    USE ieee.std_logic_signed.all;

    USE ieee.std_logic_unsigned.all;

    LIBRARY std;

    Librera que no requiere ser declarada en un diseo.

    Contiene declaraciones de tipos de datos y funciones de entrada-salida de texto entre

    otros.

    USE std.standard.all;

    USE std.textio.all;

    Standard: donde se definen los tipos lgicos y numricos bsicos

    TEXTIO: Define tipos para la creacin de texto y procedimientos para el ingreso e

    impresin de textos.

    LIBRARY work;

    USE work.all;

    Librera que no requiere ser declarada en un diseo.

    Es donde se salvan todos los archivos relacionados con el diseo en curso (creados por el

    compilador, simulador, etc.).

    USE ieee.std_logic_1164:

    Especifica el STD_LOGIC (8 niveles) y el STD_ULOGIC (9 niveles) para sistemas lgicos

    multinivel.

    De todos estos niveles slo 3 son sintetizables sin restricciones; el resto sirven para

    simulacin.

  • 25

    USE ieee.std_logic_arith:

    Especifica tipos de datos con y sin signo, operaciones aritmticas y de comparacin

    numrica y funciones para conversin de datos.

    USE ieee.std_logic_signed:

    Permite operaciones con signo con datos tipo STD_LOGIC_VECTOR.

    USE ieee.std_logic_unsigned:

    Permite operaciones sin signo con datos tipo STD_LOGIC_VECTOR.

  • 26

    Observaciones

    TINA tambin es una herramienta exclusiva para evaluar del conocimiento de los estudiantes,

    controlar sus progresos y la presentar tcnicas de resolucin de problemas. Con el hardware

    opcional se pueden probar los circuitos reales a travs de la comprobacin de los resultados

    obtenidos en la simulacin.

    TINA nos permite crear nuestros propios componentes, y una sorprendente utilidad de ver los

    diseos en 3D montado en un protoboard, TINA es un juego de anlisis de red interactivo con opcin

    a prctica de laboratorio electrnico completo con lo necesario para diseo y educacin electrnica

    interactiva.

  • 27

    Conclusiones

    Tina es una potente herramienta de simulacin para la verificacin del buen funcionamiento de cdigo escrito en VHDL. Trabaja con circuitos anlogos, digitales e incluso mezclas de ambos.

    Permite una simulacin en tiempo real, para infinidad de herramientas, su ambiente grafico visual permite apreciar con facilidad los resultados de la simulacin.

    Este software es de gran importancia ya que permite crear y modificar circuitos y sus componentes usando compuertas, display de siete segmentos, multiplexores decodificadores, codificadores, entre otros.

  • 28

    Bibliografa

    Anlisis y Diseo de Circuitos Lgicos y Digitales:

    VICTOR P. NELSON. Primera Edicin. Editorial Prentice Hall.

    http://www.slideshare.net/guest4b46762/famaf-clase-vhdl-leccin-02

    http://www.tina.com/Spanish/tina/

    http://www.dte.us.es/ing_inf/dise_comp/disenio_y_simulacion__vhdl_08_09_ISE.pdf