semi-sumador sumandos suma acarreo a a …...consideraciones – sumador de 16 bits el código...

27
SUMA BINARIA SEMI-SUMADOR SUMANDOS SUMA ACARREO A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B S = A B S = A . B SUMADOR – TOTAL Ejemplo de suma Ci A B S Co 0 0 0 0 0 0 0 1 1 0 Ci 1 1 0 0 1 Ai 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ A B Ci Co S 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 S = Σ1,2,4,7 = Ci A B Co = Σ3,5,6,7 = AB + CiA + CiB

Upload: others

Post on 14-Mar-2020

24 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

SUMA BINARIASEMI-SUMADOR

SUMANDOS SUMA ACARREO A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

A

BS = A B

S = A . B

SUMADOR – TOTALEjemplo de suma

Ci A B S Co 0 0 0 0 0 0 0 1 1 0

Ci 1 1 0 0 1Ai 1 1 1 0 1 Bi 1 0 0 1Si 1 0 0 1 1 0Co 1 1 0 0 1

Σ

A

B

Ci Co

S

0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

S = Σ1,2,4,7 = Ci A B

Co = Σ3,5,6,7 = AB + CiA + CiB

Page 2: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

NUMEROS CON SIGNO

10 01 01 0 = + 5210

MAGNITUD

Bit de signo (+)

11 01 01 0 = - 5210

MAGNITUD

Bit de signo (-)

COMPLEMENTO A 1COMPLEMENTO A 1

Se deben cambiar los 0 por 1 y los 1 por 0, Ej. 1 0 1 1, numero real

0 1 0 0, Complemento a 1

COMPLEMENTO A 2

Es el complemento a 1 y se le suma 1, Ej, 1 0 1 1, numero real

0 1 0 0, Complemento a 1

+ 1

0 1 0 1, Complemento a 2

Page 3: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

REPRESENTACION DE NUMEROS CON SIGNO

10 10 01 1 = + 4510

BINARIO REAL

Bit de signo (+)

01 01 10 1 = - 4510

COMP. a 2

Bit de signo (-)

EJEMPLOS: ( UTILIZAR CINCO BITS INCLUYENDO EL SIGNO )

+ 13 0 1 1 0 1

- 9 1 0 1 1 1

+ 3 0 0 0 1 1

- 3 1 1 1 0 1

Page 4: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

DESBORDAMIENTO (OVERFLOW) ARITMETICO

EJEMPLO DE SUMA:

+ 3 0 0 0 1 1

+ 5 0 0 1 0 1

3 + 5 0 1 0 0 0

EN LOS EJEMPLOS ANTERIORES VIMOS QUE LA SUMA BINARI A DE 4 BITS MAS UNO DE SIGNO (CINCO EN TOTAL) NO HABIA ACARREO HACIA LA QU INTA POSICIÓN. VEAMOS QUE OCURRE SI REALIZAMOS UNA OPERACION QUE EXCEDA

+ 9 0 1 0 0 1

+ 8 0 1 0 0 0+ 8 0 1 0 0 0

9 + 8 1 0 0 0 1

SIGNO INCORRECTO MAGNITUD INCORRECTA

LA RESPUESTA CORRECTA ES + 17 PERO TAL MAGNITUD RE QUIERE MAS DE 4 BITS Y POR LO TANTO PROVOCA UN DESBORDE (OVERFLOW)

Page 5: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELOS VHDL

Desarrollemos el código VHDL para un semisumador de un bitLIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY semisumador ISPORT (x1, x2, : IN STD_LOGIC ;

Suma,carry: OUT STD_LOGIC);END semisumador;

ARCHITECTURE semi OF semisumador ISBEGINBEGIN

suma<= x1 XOR x2;carry<= x1 AND x2;

END semi;

CARRY

SUMA

X1

X2

Page 6: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

SUMADOR TOTAL

xs

y ΣΣΣΣcout

cin

s = x⊕⊕⊕⊕y⊕⊕⊕⊕cin

cout = x.y + cin.x + cin.y

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY fulladd IS

PORT (cin, x, y : IN STD_LOGIC;

s, cout : OUT STD_LOGIC);

END fulladd;

ARCHITECTURE LogicFunc OF fulladd IS

BEGIN

s <= (x XOR y XOR cin);

cout<= (x AND y) OR (cin AND x) OR ( cin AND Y);

END LogicFunc;

Page 7: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

Representación de Números en VHDL

DEFINE

Así como en circuitos lógicos un número es represen tado por señales en un conexionado de múltiples cables, un número en VHDL es representado como una señal de datos multibit. Un ejemplo de esto es:

SIGNAL C : STD_LOGIC_VECTOR (1 TO 3)

El tipo de dato STD_LOGIC_VECTOR representa un arre glo lineal del dato STD_LOGIC.

La declaración SIGNAL define a C como una señal STD _LOGIC de 3 bits. Si por ejemplo asignamos

C <= “100”;

Ello significa que C(1) = 1 , C(2) = 0 y C(3) = 0

Otro tipo de declaración SIGNAL es:

SIGNAL X: STD_LOGIC_VECTOR (3 DOWNTO 0);

Page 8: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

SENTENCIAS DE ASIGNACION ARITMETICALo que define a X como una señal de STD_LOGIC_VECTO R de 4 bits, especificando que el bit mas significativo de X es designado X(3) y el menos significativo X(0)

Ejemplo: X<= “1100”

significa: X(3) = 1, X(2)=1, X(1) = 0 , X(0) = 0

Sentencias de Asignación Aritmética

Si definimos:SIGNAL X, Y, S: STD_LOGIC_VECTOR(15 DOWNTO 0);

Luego las sentencia de asignación aritméticaS<= X + Y;

Representa a un sumador de 16 bits

Page 9: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO VHDL – SUMADOR 16 BITS

Agui vemos el uso de estas sentencias y se ha incl uido el paquete std_logic_signed para permitir el uso del operador adición (+)

LIBRARY ieee;USE ieee.std_logic_1164.all ;USE ieee.std_logic_signed.all ;

ENTITY adder16 ISPORT ( X, Y : IN STD_LOGIC_VECTOR(15 DOWNTO 0) ;

S : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); S : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END adder16;

ARCHITECTURE Behavior OF adder16 ISBEGIN

S <= X + Y ;END Behavior;

OBSERVE QUE NO SE INCLUYEN LAS SEÑALES DE ACARREO D E ENTRADA NI DE SALIDA NI OVERFLOW -

Page 10: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

CONSIDERACIONES – SUMADOR DE 16 BITS

El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con el código que se propone a c ontinuacion

El bit 17 designado sum , es usado por el Cout desde la posición 15 del sumador.El término entre paréntesis (0&X), es que el 0 esta concatenado al bit 16 de la señal X para crear el bit 17.

En VHDL el operador & se llama operador concatenate. Este operador no tiene el significado de la funcion AND. La razón de introducirlo en este código es que VHDL requiere que al menos uno de los operandos X o Y

Otro detalle es la sentencia:

S <= Sum (15 DOWNTO 0);

Esta sentencia asigna los 16 bits menos significati vos de Sum a la salida S

código es que VHDL requiere que al menos uno de los operandos X o Y tengan el mismo numero de bits que el resultado

Page 11: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO 2 –VHDL – SUMADOR DE 16 BITS

LIBRARY ieee;USE ieee.std_logic_1164.all ;USE ieee.std_logic_signed.all ;

ENTITY adder16 ISPORT ( Cin : IN STD_LOGIC;

X, Y : IN STD_LOGIC_VECTOR(15 DOWNTO 0) ;S : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ;

Cout, Overflow : OUT STD_LOGIC) ;END adder16 ;

ARCHITECTURE Behavior OF adder16 ISSIGNAL Sum : STD_LOGIC_VECTOR(16 downto 0) ;

BEGINSum <= (‘0’ & X) + Y + Cin ;S <= Sum(15 Downto 0) ;Cout <= Sum(16) ;Overflow <= Sum(16) XOR X(15) XOR Y(15) XOR Sum(15) ;

END Behavior ;

Sumador con señales de carry y overflow

Page 12: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

CONSIDERACIONES SUMADOR CON CARRY Y OVERFLOW

La sentencia : Cout <= Sum (16); asigna el carry- out de la adición ,( sum (16)), a la señal carry-out, ( Cout)

La expresión de overflow es Cn-1 XOR Cn , en nuest ro caso Cn = Sum (16) y por otra parte

Cn-1 = X(15) ⊕⊕⊕⊕ Y(15) ⊕⊕⊕⊕ S(15)

El uso del paquete std_logic_signed permite que las señales STD_LOGIC sean utilizadas con operadores aritméticos. Este paquete realmente usa otro paquete , el std_logic-arith, el que define dos tipos de datos llamados SIGNED Y UNSIGNED, para uso en circuitos aritméticos que tratan con numeros con y sin signo.

Page 13: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO VHDL – SUMADOR – PAQUETE ARITMETICOEl codigo anterior puede ser reescrito para usar di rectamente el paquete std_logic-arith, tal como se observa en la Fig. 24

LIBRARY ieee;USE ieee.std_logic_1164.all ;USE ieee.std_logic_arith.all ;

ENTITY adder16 ISPORT ( Cin : IN STD_LOGIC;

X, Y : IN SIGNED(15 DOWNTO 0) ;S : OUT SIGNED(15 DOWNTO 0) ;Cout, Overflow : OUT STD_LOGIC) ;

ARCHITECTURE Behavior OF adder16 ISSIGNAL Sum : SIGNED(16 downto 0) ;

BEGINSum <= (‘0’ & X) + Y + Cin ;S <= Sum(15 DOWNTO 0) ;Cout <= Sum(16) ;Overflow <= Sum(16) XOR X(15) XOR Y(15) XOR Sum(15) ;

END Behavior ;

Uso del paquete aritmetico

Cout, Overflow : OUT STD_LOGIC) ;END adder16;

Page 14: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

LA RESTA DE DOS NUMEROS BINARIOS ES EQUIVALENTE A UNA SUMA ALGEBRAICA.

SEA:

RESTA BINARIA

B= 1 0 1 1

B= 0 1 0 0

B + B = 1 1 1 1 + 1

B + B + 1 = 1 0 0 0 0 = 2n

(Bit de overflow)

B = 2n - B - 1

B = 2n - B - 1

LA EXISTENCIA DEL OVERFLOW INDICA QUE EL RESULTADO E S POSITIVO O SEA A>B. SI NO EXISTIERA OVERFLOW EL RESULTADO SERIA NEGATIVO (A<B)

A = 1 1 0 0 A = 1 1 0 0

B = 0 1 1 1 B = 1 0 0 0

A + B = 101 0 0

+ 1Overflow

A + B + 1 = 1 0 1

B) > 0 :(A

A – B = A – (2n – B – 1)

A – B = A +B + 1 - 2n

Bit de over flow

_

Page 15: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

RESTA < 0

–(A B) < 0:(A B) < 0:

2 n – – B)

– -

n –

A + B = 1 + (A

YA QUE (A

A + B = 2

A + B = 1 + (A

YA QUE (A B) = D

A + B = 2 1 – D = D

D = A + B

A – -2nB = A + B B = A + B + 1

Ejemplo:

A = 0 1 1 1 A = 0 1 1 1

B = 1 1 0 0 B = 0 0 1 1

A + B = 1 0 1 0 (NO HAY OVERFLOW)

A + B = 0 1 0 1 = (A – B)

Page 16: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

CIRCUITOS REPRESENTATIVOS

(A –B) > 0(A –B) < 0

AS

BCo

Ci

?“0”

AS

BCo

Ci

?

Vcc

“1”

AS

BCo

Ci

?

Vcc

“1”

AS

BCo

Ci

?

Vcc

“1”

AS

BCo

Ci

?“0”

AS

BCo

Ci

?“0”

Σ Σ

RESTADOR PARA (A – B) > 0 y (A – B) < 0

AS

BCo

Ci

?

Vcc

“1”

AS

BCo

Ci

?

Vcc

AS

BCo

Ci

Σ

A 1 = A

A 0 = A

iVcc iVcc iVcc

Page 17: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

SUMADOR/RESTADOR DE 4 BITSA + B

A SB CoCi

? “

A SB CoCi

?C

SB

CoA

i

A SB CoCi

? “

A SB CoCi

?C

SB

CoA

i

A SB Co

Ci

? “

A SB Co

Ci

?S

BCo

Ci

A1

Ao

B1

B2

B0

S0

S1

S2

S / R = 0 SUMA

S / R = 1 RESTA

B 1 = B

B 0 = B

0

0

0

B1

B2

B0Σ

Σ

ΣB Co? “B Co?A

Co

A SB Co

Ci

? “

A SB Co

Ci

?A

SB

Co

Ci

A2

A3

B2

B3

S / R = 0

S30

0

0

B3 Σ

Page 18: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

RESTADOR DE 4 BITS

A SB CoCi

? “

A SB CoCi

?C

SB

CoA

i

A SB CoCi

? “

A SB CoCi

?C

SB

CoA

i

A SB Co

Ci

? “

A SB Co

Ci

?S

BCo

Ci

A1

Ao

B1

B2

B0

S0

S1

S2

S / R = 0 SUMA

S / R = 1 RESTA

B 1 = B

B 0 = B

( A - B) > 0Σ

B0

B1

B2

1

Σ

Σ

S / R = 1 (RESTA)

B Co? “B Co?A

Co

A SB Co

Ci

? “

A SB Co

Ci

?A

SB

Co

Ci

A2

A3

B2

B3

S3B3

1

1

Σ

Σ

Page 19: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

- COMPARADOR DE MAGNITUD

A B A=B A=B A>B A<B

A⊕ B A⊕ B A . B A . B

0 0 0 1 0 0

0 1 1 0 0 1

1 0 1 0 1 0

1 1 0 1 0 0

COMPARADOR

Page 20: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO VHDL - COMPARADOR

LIBRARY ieee;USE ieee.std_logic_1164.all ;USE ieee.std_logic_unsigned.all ;

ENTITY compare ISPORT ( A, B : IN STD_LOGIC_VECTO R(3 DOWNTO 0) ;

AeqB, AgtB, AltB : OUT STD_LOGIC ) ;END compare ;END compare ;

ARCHITECTURE Behavior OF compare ISBEGIN

AeqB <= ‘1’ WHEN A = B ELSE ‘0’;AgtB <= ‘1’ WHEN A > B ELSE ‘0’;AltB <= ‘1’ WHEN A < B ELSE ‘0’;

END Behavior ;

Codigo VHDL para un comparador de 4 bits

Page 21: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO2 VHDL COMPARADOR

Otra forma de especificar el circuito es incluir la librería denominada std_logic_arith . En ambos casos las señales A y B deberían estar definidas con el tipo UNSIGNED, mas bien que STD_L OGIC_VECTOR. Si nosotros queremos que el circuito trabaje con numer os con signo, las señales A y B deberían ser definidas con el tipo SI GNED.

LIBRARY ieee;USE ieee.std_logic_1164.all ; USE ieee.std_logic_arith.all ;

ENTITY compare ISENTITY compare ISPORT ( A, B : IN SI GNED(3 DOWNTO 0) ;

AeqB, AgtB, AltB : OUT STD_LOGIC ) ;END compare ;ARCHITECTURE Behavior OF compare ISBEGIN

AeqB <= ‘1’ WHEN A = B ELSE `0`;AgtB <= ‘1’ WHEN A > B ELSE `0`;AltB <= ‘1’ WHEN A < B ELSE `0`;

END Behavior ;

Page 22: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

SUMADOR BCD

A 0100 4

B 0010 2

0110 6

A 0111 7

B 0111 7

1110 14

+6 0110 6

10100 14

ΣΣΣΣ ΣΣΣΣ ΣΣΣΣ ΣΣΣΣ

GND

A3 A2 A1 A0B1 B0B2B3

1

23 2

3

U?A1

23

U?ACICICICI COCO

COCO

GND

GND

ΣΣΣΣ ΣΣΣΣ ΣΣΣΣ

S1

GND

123

123

VCC VCC

11

3

54AC32FMQB

54AC32FMQB

S0S2S3

S4

123

U?A54AC32FMQB

CI CI CICO CO CO

Page 23: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MULTIPLICADOR

123

123

123

123

A3 A2 A1 A0

A3 A2 A1 A0

B0

A 1000

B 10

0000

1000

A*B 10000

GND

ΣΣΣΣ

123

123

123

123

ΣΣΣΣ ΣΣΣΣ ΣΣΣΣ

GND

B1

M4 M3 M2 M1 M0

CICOCICOCICOCICO

Page 24: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

CODIFICACION SECUENCIALSENTENCIA PROCESS

ES UNA SENTENCIA CONCURRENTE (SE EJECUTA EN PARALELO) QUE ENGLOBA UN CONJUNTO DE SENTENCIAS QUE SE EJECUTAN SECUENCIALMENTE.

TANTO EL SIMULADOR COMO EL SINTETIZADOR INTERPRETAN AL BLOQUE PROCESS COMO SI SE TRATASE DE UNA SOLA SENTENCIA.

TODO PROCESO CONLLEVA UNA LISTA DE SENSIBILIDAD (opcional) , QUE ES UN CONJUNTO DE SEÑALES CUYO CAMBIO ACTIVA LA EJECUC IÓN DEL PROCESO.

LA SENTENCIA CASE ES DE SELECCION Y PERMITE SELECCIONAR UNA ENTRE VARIAS ALTERNATIVAS - VA JUNTO A LA SENTENCIA WHEN

process ( <entradas separadas por comas>)

begin

Instrucciones;

end process;

Page 25: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

LA ALU ES UN DISPOSITIVO QUE EJECUTA OPERACIONES LO GICAS Y ARITMETICAS. A SABER:

LOGICAS: AND, OR, NAND, NOR, XOR, NEGACION.ARITMETICAS: SUMA, RESTA, COMPARACION DE MAGNITUD, SHIFT .

DATOS ENTRADA

A B

ALU - UNIDAD LOGICA ARITMETICA

S

F

A TRAVES DE APROPIADAS SEÑALES DE CONTROL <S> SE PU EDEN SELECCIONAR LAS DIFERENTES FUNCIONES LOGICAS Y ARIT METICAS

Page 26: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO VHDL - ALU

OPER ENT SALIDA OPER ENT SALIDA OPER ENT SALIDA OPER ENT SALIDA

SSSS2 2 2 2 SSSS1 1 1 1 SSSS0000 FFFF

Clear 0 0 0Clear 0 0 0Clear 0 0 0Clear 0 0 0 0 0 0 00 0 0 00 0 0 00 0 0 0

LIBRARY ieee;

USE ieee.std_logic_1164.all ;

USE ieee.std_ logic _unsigned.all ;

En la tabla que sigue se especifica el funcionamien to de la ALU 74381. Tiene 2entrada de datos de 4 bits c/u denominadas A y B, u na entrada de selección sde 3 bits y una salida F de 4 bits. En la tabla el signo + indica adición a ritméticay el signo - significa sustracción aritmética.

Clear 0 0 0Clear 0 0 0Clear 0 0 0Clear 0 0 0 0 0 0 00 0 0 00 0 0 00 0 0 0

B B B B ---- A 0 0 1A 0 0 1A 0 0 1A 0 0 1 B B B B ---- AAAA

A A A A ---- B 0 1 0B 0 1 0B 0 1 0B 0 1 0 A A A A ---- BBBB

ADD 0 1 1ADD 0 1 1ADD 0 1 1ADD 0 1 1 A + BA + BA + BA + B

XOR 1 0 0XOR 1 0 0XOR 1 0 0XOR 1 0 0 A XOR BA XOR BA XOR BA XOR B

OR 1 0 1OR 1 0 1OR 1 0 1OR 1 0 1 A OR BA OR BA OR BA OR B

AND 1 1 0 AND 1 1 0 AND 1 1 0 AND 1 1 0 A AND BA AND BA AND BA AND B

Preset 1 1 1Preset 1 1 1Preset 1 1 1Preset 1 1 1 1 1 1 11 1 1 11 1 1 11 1 1 1

USE ieee.std_ logic _unsigned.all ;

ENTITY alu IS

PORT ( s : IN STD_LOGIC_VECTOR(2 DOWNTO 0) ;

A, B : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ;

F : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );

END alu ;

Page 27: SEMI-SUMADOR SUMANDOS SUMA ACARREO A A …...CONSIDERACIONES – SUMADOR DE 16 BITS El código anterior no incluye las señales Cin y Cout y tampoco el overflow . Ello se subsana con

MODELO VHDL - ALU

OPER ENT SALIDA OPER ENT SALIDA OPER ENT SALIDA OPER ENT SALIDA SSSS2 2 2 2 SSSS1 1 1 1 SSSS0000 FFFF

Clear 0 0 0Clear 0 0 0Clear 0 0 0Clear 0 0 0 0 0 0 00 0 0 00 0 0 00 0 0 0B B B B ---- A 0 0 1A 0 0 1A 0 0 1A 0 0 1 B B B B ---- AAAA

A A A A ---- B 0 1 0B 0 1 0B 0 1 0B 0 1 0 A A A A ---- BBBB

•ARCHITECTURE Behavior OF alu IS•BEGIN• PROCESS ( s, A, B ) -- LISTA DE SENSIBILIDAD• BEGIN• CASE s IS• WHEN “000” =>• F <= “0000” ; • WHEN “001” =>• F <= B – A ; • WHEN “010” =>• F <= A – B ; • WHEN “011” =>

F <= A + B ; A A A A ---- B 0 1 0B 0 1 0B 0 1 0B 0 1 0 A A A A ---- BBBBADD 0 1 1ADD 0 1 1ADD 0 1 1ADD 0 1 1 A + BA + BA + BA + B

XOR 1 0 0XOR 1 0 0XOR 1 0 0XOR 1 0 0 A XOR BA XOR BA XOR BA XOR BOR 1 0 1OR 1 0 1OR 1 0 1OR 1 0 1 A OR BA OR BA OR BA OR B

AND 1 1 0 AND 1 1 0 AND 1 1 0 AND 1 1 0 A AND BA AND BA AND BA AND BPreset Preset Preset Preset 1 1 11 1 11 1 11 1 1 1 1 1 11 1 1 11 1 1 11 1 1 1

• F <= A + B ; • WHEN “100” =>• F <= A XOR B ; • WHEN “101” =>• F <= A OR B ; • WHEN “110” =>• F <= A AND B ; • WHEN OTHERS =>• F <= “1111” ;• END CASE ;• END PROCESS ;•END Behavior ;