manual del curso de electronica

Upload: rodrigo-soto-leon

Post on 02-Jun-2018

241 views

Category:

Documents


3 download

TRANSCRIPT

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    1/169

    Circuitos Digitales II

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    2/169

    2

    CARRERAS PROFESIONALES CIBERTEC

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    3/169

    CIRCUITOS DIGITALES I I 3

    CIBERTEC CARRERAS PROFESIONALES

    NDICE Pgina

    Presentacin 5

    Red de contenidos 7

    Unidad de aprendizaje 1: Sistema secuencial

    1.1 Tema 1 : Introduccin 10

    1.1.1. : Sistema secuencial 10

    1.1.2. : Diseo de circuitos secuenciales 12

    1.2 Tema 2 : Elementos bsicos secuenciales 20

    1.2.1. : Latchs 20

    1.2.2. : Flip-Flops 22

    Unidad de aprendizaje 2: Sistema de almacenamiento temporal

    2.1 Tema 3 : Registros 32

    2.1.1. : Tipos 32

    2.1.2. : Registro en un IC 42

    2.2 Tema 4 : Memorias 46

    2.2.1. : Tipos 47

    2.2.2. : Acceso a las memorias 49

    Unidad de aprendizaje 3: Circuitos de temporizacin y cuenta

    3.1 Tema 5 : Temporizador o Timer 56

    3.1.1. : Disposicin de contactos 57

    3.1.2. : Modos de operacin 58

    3.1.3. : Aplicaciones 64

    3.2 Tema 6 : Circuitos de cuenta 70

    3.2.1. : Diseo de circuitos de cuenta 70

    3.2.2. : Contador binario de 4 bits 76

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    4/169

    4

    CARRERAS PROFESIONALES CIBERTEC

    Unidad de aprendizaje 4: Sistemas de acceso a un canal

    4.1 Tema 7 : Multiplexores 88

    4.1.1. : Multiplexores de 4 a 1 88

    4.1.2.4.1.3.

    4.1.4.

    ::

    :

    Multiplexores de 8 a 1Circuitos integrados multiplexores

    Sistemas de Multiplexacin

    9091

    93

    4.2 Tema 8 : Demultiplexores 96

    4.2.1. : Demultiplexores de 1 a 4 96

    4.2.2.

    4.2.3.

    :

    :

    Demultiplexores de 1 A 8

    Circuitos integrados demultiplexores

    98

    99

    Unidad de aprendizaje 5: Sistema de adquisicin de datos

    5.1 Tema 9 : Componentes de Adquisicin 104

    5.1.1. : Buffer de datos 104

    5.1.2. : Latch de datos 107

    5.1.3. : Conversores ADC y DAC 108

    5.2 Tema 9 : Sistema de adquisicin de datos 118

    5.2.1. : El puerto paralelo 1195.2.2. : Diseo de tarjetas de adquisicin de datos 124

    Unidad de aprendizaje 6: Microcontroladores PIC

    6.1 Tema 10 : Introduccin a los microcontroladores 128

    6.1.1. : Microprocesador y Microcontrolador 128

    6.1.2. : El PIC16F84 129

    6.1.3. : Organizacin de la memoria 1386.2 Tema 11 : Programacin del PIC 152

    6.2.1. : Juego de instrucciones 152

    6.2.2. : Acceso a los puertos 154

    6.2.3. : Desarrollo de programas 161

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    5/169

    CIRCUITOS DIGITALES I I 5

    CIBERTEC CARRERAS PROFESIONALES

    PRESENTACIN

    El curso de Circuitos Digitales II pertenece a la lnea de los sistemas

    digitales, puesto que es una asignatura de especialidad en la carrera de

    Electrnica. Permitir al estudiante obtener el conocimiento fundamental de los

    componentes electrnicos secuenciales en su conjunto y servir de base para

    el desarrollo de la carrera.

    El manual para el curso ha sido diseado bajo la modalidad de unidades de

    aprendizaje, las que se desarrollan durante semanas determinadas. En cada una

    de ellas, hallar los logros, que debe alcanzar al final de la unidad; el tema

    tratado, el cual ser ampliamente desarrollado; y los contenidos, que debe

    desarrollar, es decir, los subtemas. Por ltimo, encontrar las actividades que

    deber desarrollar en cada sesin, que le permitirn reforzar lo aprendido en la

    clase.

    El curso es netamente prctico: ello quiere decir que es desarrollado en

    laboratorios. Asimismo, abarca 6 unidades de aprendizaje con los siguientes

    temas: sistema secuencial, sistemas de almacenamiento temporal de

    informacin, circuitos de temporizacin y cuenta, sistemas de acceso a un

    canal, sistemas de adquisicin de datos y Microcontroladores PIC. Se concluye

    el curso con la presentacin de un proyecto aplicativo al diseo electrnico

    digital.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    6/169

    6

    CARRERAS PROFESIONALES CIBERTEC

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    7/169

    CIRCUITOS DIGITALES I I 7

    CIBERTEC CARRERAS PROFESIONALES

    RED DE CONTENIDOS

    CIRCUITOS DIGITALES II

    CIRCUITOS DETEMPORIZACIN

    Y CUENTA

    Memorias

    SISTEMASECUENCIAL

    Latchs

    SISTEMAS DEALMACENAMIENTO

    TEMPORAL

    Flip-flops

    Registros

    Multiplexores

    Circuitosecuencial

    El Timer

    Contadoresbinarios y BCD

    SISTEMAS DEACCESO

    A UN CANAL

    Demultiplexores

    SISTEMAS DEADQUISICIN DE DATOS

    Buffer yLatchs

    ConversoresADC/DAC

    Circuitos deadquisicin

    MICROCONTROLADORESPIC

    Introduccin

    El PIC16F84

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    8/169

    8

    CARRERAS PROFESIONALES CIBERTEC

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    9/169

    CIRCUITOS DIGITALES I I 9

    CIBERTEC CARRERAS PROFESIONALES

    SISTEMA SECUENCIAL

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea diferentes tipos de registros y una

    memoria bsica aplicando arreglo de puertas lgicas y Flip-Flops.

    TEMARIO

    Introduccin

    Sistema secuencial

    Diseo de circuitos secuenciales

    ACTIVIDADES PROPUESTAS

    Los alumnos, mediante software de simulacin, disean circuitos digitales.

    bsicos que involucran realimentacin.

    Los alumnos implementan, en protoboards, dichos circuitos.

    UNIDAD DE

    APRENDIZAJE

    1

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    10/169

    10

    CARRERAS PROFESIONALES CIBERTEC

    1.1 INTRODUCCIN

    Actualmente, al pasar por cualquier centro

    comercial, se aprecian avisos luminosos que

    cambian de formato cada cierto tiempo.

    Asimismo, observamos tambin relojes

    digitales que cambian cada segundo y cada

    60 segundos (un minuto) y cada 60 minutos

    (una hora), pero cmo lo hacen? Cmo se

    logran automatizar estos y otros sistemas

    digitales?

    El principio se basa en la realimentacin. La

    salida de estos sistemas no slo depende de

    las entradas sino tambin del estado anterior

    de la misma salida, por lo cual es necesaria la

    realimentacin en el sistema.

    Esta realimentacin de la salida a la entrada sirve como medio generador de una

    nueva entrada, la cual produce una nueva salida, que a su vez, genera una nuevaentrada y as sucesivamente, siguiendo una secuencia en un determinado orden.

    Para que los circuitos secuenciales puedan generar una secuencia, necesitan que los

    gobierne un reloj o circuito temporizador, el cual genera un tren de pulsos a una

    frecuencia constante.

    1.1.1 SISTEMA SECUENCIAL

    Un circuito secuencial es una combinacin de puertas lgicas en un determinado

    arreglo, que permite realizar una secuencia de acuerdo a un formato especfico, para

    una determinada funcin. Un elemento fundamental de un circuito secuencial es el

    Flip-Flop.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    11/169

    CIRCUITOS DIGITALES I I 11

    CIBERTEC CARRERAS PROFESIONALES

    El circuito secuencial ms simple es el biestable. En efecto, la mayora de los circuitos

    de este tipo estn gobernados por un reloj: a estos se les llama sncronos o

    sincronizados por cambiar de estado en forma peridica, pero tambin existen los

    asncronos que no son controlados por reloj. Los sistemas secuenciales ms

    populares son los contadores y los registros de desplazamiento.

    El comportamiento de un circuito secuencial se determina mediante las entradas, las

    salidas y los estados anteriores. Tanto las salidas como el estado siguiente son

    funcin de las entradas y del estado presente. El anlisis de los circuitos secuenciales

    consiste en obtener una tabla o un diagrama de las secuencias de tiempo de las

    entradas, salidas y estados internos. Tambin es posible escribir expresiones

    booleanas que describen el comportamiento de los circuitos secuenciales. Sin

    embargo, esas expresiones deben incluir la secuencia de tiempo necesario, ya sea en

    forma directa o indirecta.

    La mayora de los sistemas secuenciales estn gobernados por seales de reloj: a

    stos se los denomina "sncronos" o "sincrnicos", a diferencia de los "asncronos" o

    "asincrnicos" que son aquellos que no son controlados por seales de reloj.

    Dependiendo de cmo se obtengan las funciones de salida, los sistemas secuenciales

    pueden tener dos estructuras denominadas autmata de Moore y autmata de Mealy.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    12/169

    12

    CARRERAS PROFESIONALES CIBERTEC

    Mquina de Moore: Las salidas slo dependen del estado interno y de cualquier

    entrada sincronizada con el circuito, tal como se observa en la siguiente figura:

    Mquina de Mealy. En esta mquina de estados, las salidas se encuentran

    determinadas por el estado interno del sistema y por las entradas no sincronizadas

    con el circuito. El diagrama de bloques representativo de esta mquina se muestra en

    la figura. En ella, se observa que las salidas del sistema son tanto sincrnicas como

    asincrnicas.

    1.1.2 DISEO DE CIRCUITOS SECUENCIALES

    Para el anlisis y diseo de circuitos secuenciales, se requieren herramientas como las

    ecuaciones lgicas, los diagramas de estado, las tablas de estado, las tablas de transiciny los mapas de Karnaugh.

    1.1.2.1 ECUACIONES LGICAS

    Son funciones que definen la relacin existente entre los estados de entrada y los

    estados de salida del sistema. Inicialmente, se deben identificar los estados siguientes.

    Estos estados corresponden a aquellos que ocurren despus de una transicin de la

    seal de reloj aplicada en los flip-flops.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    13/169

    CIRCUITOS DIGITALES I I 13

    CIBERTEC CARRERAS PROFESIONALES

    Dada la siguiente ecuacin: Rn+1= P' M R'n+ P' M Rn+ P' M' Rn

    Donde:

    Rn+1es la variable de salida en el tiempo siguiente.

    Rnes el estado de la variable actual.

    My Pson las variables de entrada.

    Determinamos que las ecuaciones lgicas en los circuitos secuenciales tienen una

    estructura formada por dos clases de estados:

    Los estados siguientes, los cuales se agrupan al lado izquierdo de la expresin y

    representan las variables dependientes del sistema. El estado de estas variablescambia en el momento que ocurra una transicin de la seal de reloj.

    Los estados actuales y entradas del sistema. Agrupados al lado derecho de la

    expresin, constituyen las variables independientes, las cuales pueden o no cambiar

    en sincrona con el sistema.

    Si a esta ecuacin lgica la simplificamos, tendramos:

    Rn+1= P' (M + M' Rn), aplicando absorcin se obtiene

    Rn+1= P' (M + Rn)aplicando Morgan

    Rn+1= [P+ (M+Rn)']'

    La siguiente grfica corresponde a la ecuacin lgica simplificada:

    De esta, se puede apreciar que la salida Rn, no slo depende de las entradas M y

    P, sino tambin, del estado anterior de la misma salida Rn(por la realimentacin).

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    14/169

    14

    CARRERAS PROFESIONALES CIBERTEC

    1.1.2.2 TABLAS DE ESTADO

    Son tablas que contiene la secuencia de los estados de entradas, estados internos y

    salidas del sistema, considerando todas las combinaciones.

    Con la informacin obtenida de estas tablas, se disean los circuitos lgicos

    respectivos, despus de simplificar y reducir la expresin booleana correspondiente.

    1.1.2.3 DIAGRAMAS DE ESTADO

    Es una representacin grfica que indica la secuencia de los estados que se

    presentan en un circuito secuencial, teniendo en cuenta las entradas y salidas.

    El diagrama se forma con crculos y lneas. Los crculos contienen los estados del

    circuito secuencial y las lneas indican las transiciones entre estos estados. Los

    estados separados por un (/), representan la entrada y salida respectiva antes de cada

    transicin.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    15/169

    CIRCUITOS DIGITALES I I 15

    CIBERTEC CARRERAS PROFESIONALES

    De acuerdo con diagrama, el estado interno 01 ser el estado siguiente de la

    secuencia: si el estado interno actual es 00, la entrada actual es 1y la salida actual es

    0.

    1.1.2.4 TABLAS DE TRANSICION

    Se usan en conjunto con las de estado y representan la tabla de verdad de los flip-

    flops con los cuales se desea implementar el circuito secuencial. La tabla contiene los

    estados de transicin de salida (actual y siguiente) para cada estado de las entradas.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    16/169

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    17/169

    CIRCUITOS DIGITALES I I 17

    CIBERTEC CARRERAS PROFESIONALES

    Res!e"

    En un sistema secuencial, las salidas no slo dependen de sus entradas, sinotambin del estado anterior.

    El circuito secuencial permite, sobre la base de la realimentacin, repetir una

    determinada salida en forma peridica.

    Si desea saber ms acerca de estos temas, puede consultar las siguientes pginas:

    http://es.wikipedia.org/wiki/Sistema_secuencialAqu hallar informacin sobre definiciones y sistemas realimentados que generan

    una determinada secuencia.

    http://www.sec.upm.es/docencia/plan_92/ed/descarga_ED/Tema4-4pph.pdf

    Aqu encontrar una vista panormica de los circuitos secuenciales y sus

    componentes.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    18/169

    18

    CARRERAS PROFESIONALES CIBERTEC

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    19/169

    CIRCUITOS DIGITALES I I 19

    CIBERTEC CARRERAS PROFESIONALES

    SISTEMA SECUENCIAL

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea diferentes tipos de registros y una

    memoria bsica aplicando arreglo de puertas lgicas y Flip-Flops.

    TEMARIO Elementos bsicos secuenciales

    Latchs

    Flip-Flops

    Tipos

    ACTIVIDADES PROPUESTAS

    Los alumnos, mediante software de simulacin, disean un circuito secuencial

    empleando Flip Flops.

    Los alumnos disean circuitos secuenciales de activacin y desactivacin de

    sistemas.

    Los alumnos implementan en protoboards dichos circuitos.

    UNIDAD DE

    APRENDIZAJE

    1

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    20/169

    20

    CARRERAS PROFESIONALES CIBERTEC

    1.2 ELEMENTOS BSICOS SECUENCIALES

    Los elementos bsicos sobre los cuales se sustenta el funcionamiento de todos los

    circuitos secuenciales recaen sobre los Latchs y Flip Flop, dos elementos muy simples

    en su anlisis y funcin individual, pero, al asociarlos correctamente, podemos crear

    sistemas secuenciales bastante complejos.

    1.2.1 LATCH

    Las puertas lgicas estn formadas por arreglo de transistores bipolares o MOS (metal

    oxido complementario). Un LATCH est formado por un arreglo de puertas lgicas

    cuya salida no slo depende de las entradas sino del estado anterior.

    El LATCH R-S

    Es el ms comn que se puede formar con un arreglo

    puertas NOR como muestra la figura o con un arreglo de

    puertas NAND.

    El LATCH tiene una conexin de acoplamiento nter

    cruzado de la salida de una compuerta a la entrada de la

    otra. Constituye un camino de retroalimentacin; por estarazn, los circuitos se clasifican como circuitos

    secuenciales asincrnicos. Cada LATCH tiene dos salidas, Q y /Q y dos entradas S

    (set) y R (reset). Las letras R y S son las iniciales de los nombres en ingls de las

    entradas (reset y set). /Q es Q negada o el complemento de Q.

    Anlisis

    Para analizar la operacin del circuito de la figura anterior, se debe recordar que la

    salida de una compuerta NOR es 0 si cualquier entrada es 1 y que la salida es 1,

    solamente cuando todas las entradas sean 0. Como punto de partida, asmase que la

    entrada de puesta a uno (set) es 1 y que la entrada de puesta a 0 (reset) sea 0. Como

    la compuerta 2 tiene una entrada de 1, su salida /Q debe ser 0, lo cual coloca ambas

    entradas de la compuerta 1 a 0 para tener la salida Q como 1. Cuando la entrada de

    puesta a uno (set) vuelva a 0, las salidas permanecern iguales ya que la salida Q

    permanece como 1, dejando una entrada de la compuerta 2 en 1. Esto causa que la

    salida /Q permanezca en 0, lo cual coloca ambas entradas de la compuerta nmero 1

    en 0 y as la salida Q es 1.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    21/169

    CIRCUITOS DIGITALES I I 21

    CIBERTEC CARRERAS PROFESIONALES

    De la misma manera, es posible demostrar que un 1 en la entrada de puesta a cero

    (reset) cambia la salida Q a 0 y /Q a 1. Cuando se aplica un 1 a ambas entradas de

    puesta a uno y puesta a cero ambas salidas Q y /Q van a 0. Esta condicin viola el

    hecho de que las salidas Q y /Q son complementos entre s. En operacin normal,

    esta condicin debe evitarse; para ello, es necesario asegurarse, de que no se aplica

    un 1 a ambas entradas simultneamente.

    Un LATCH tiene dos entradas tiles. Cuando Q = 1 y /Q = 0 estar en el estado de

    puesta a uno (o estado 1). Cuando Q = 0 y /Q = 1 estar en el estado de puesta a cero

    (o estado 0). Las salidas Q y /Q son complementos entre s y se les trata como salidas

    normales y de complemento respectivamente. El estado binario de un LATCH se toma

    como el valor de su salida normal. Bajo operacin normal, ambas entradas

    permanecen en 0 a no ser que el estado del LATCH haya cambiado. La aplicacin de

    un 1 momentneo a la entrada de puesta a uno causar que el LATCH vaya a ese

    estado. La entrada de puesta en uno debe volver a cero antes de que se aplique un

    uno a la entrada de puesta a cero. Un 1 momentneo aplicado a la entrada de puesta

    a cero causar que el LATCH vaya al estado de borrado (o puesta a cero).

    Cuando ambas entradas son inicialmente cero y se aplica un 1 a la entrada de puesta

    a uno o se aplica un 1 a la entrada de puesta a cero mientras que el LATCH est

    borrado, quedarn las salidas sin cambio. Cuando se aplica un 1 a ambas entradas de

    puesta a uno y puesta a cero, ambas salidas irn a cero. Este estado es indefinido y

    se evita normalmente. Si ambas salidas van a 0, el estado del LATCH es

    indeterminado y depende de aquella entrada que permanezca por mayor tiempo en 1

    antes de hacer la transicin a cero.

    Tabla de verdad que lo representa

    R S Qt+1

    0 0 Qt

    0 1 1

    1 0 0

    1 1 No usar

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    22/169

    22

    CARRERAS PROFESIONALES CIBERTEC

    LATCH con compuertas NANDS

    El circuito bsico NAND opera con ambas entradas

    normalmente en 1 a no ser que el estado del flip-flop

    tenga que cambiarse. La aplicacin de un 0

    momentneo a la entrada de puesta a uno, causar

    que Q vaya a 1 y Q vaya a 0, llevando el flip-flop al

    estado de puesta a uno. Despus que la entrada de

    puesta a uno vuelva a 1, un 0 momentneo en la

    entrada de puesta a cero causar la transicin al estado de borrado (clear). Cuando

    ambas entradas vayan a 0, ambas salidas irn a 1. Esta condicin se evita en la

    operacin normal de un flip-flop.

    1.2.2 FLIP FLOP O BIESTABLE

    Est formado por un conjunto de LATCHS. Tiene una seal de control que permite

    modificar y mantener en un estado determinado o en el contrario durante un tiempo

    indefinido. Esta caracterstica es ampliamente utilizada en electrnica digital para

    memorizar informacin. El paso de un estado a otro se realiza variando sus entradas.

    Dependiendo del tipo de dichas entradas, los biestables se dividen en:

    Asncronos: slo tienen entradas de control. El ms empleado es el Biestable

    RS.

    Sncronos: adems de las entradas de control, posee una entrada de

    sincronismo o de reloj. Si las entradas de control dependen de la de

    sincronismo se denominan sncronas y en caso contrario asncronas.

    Por lo general, las entradas de control asncronas prevalecen sobre las sncronas. La

    entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (desubida o de bajada). Dentro de los biestables sncronos activados por nivel, estn los

    tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D.

    Adems de los parmetros caractersticos de la familia lgica a la que pertenecen,

    como son niveles lgicos, fan-out., etc. cabe destacar una serie de parmetros, ms o

    menos normalizados, relativos a la temporizacin de las diferentes seales que

    intervienen en la conmutacin de los flip-flops. Entre ellos, hay que destacar los

    siguientes:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    23/169

    CIRCUITOS DIGITALES I I 23

    CIBERTEC CARRERAS PROFESIONALES

    Tiempo de establecimiento (SET UP TIME). Es el tiempo anterior al flanco activo de

    toma de datos durante el cual las entradas no deben cambiar.

    Tiempo de mantenimiento (HOLD TIME). Es el tiempo posterior al flanco activo de

    toma de datos durante el cual las entradas no deben cambiar.

    Frecuencia mxima de reloj. Es la frecuencia mxima admisible de la seal de reloj

    que garantiza el fabricante.

    Duracin del tiempo alto de reloj. Es el tiempo mnimo que debe durar la parte alta

    del impulso de reloj.

    Duracin del tiempo bajo de reloj. Es el tiempo mnimo que debe durar la parte baja

    del impulso de reloj.

    Tiempo bajo de PRESET Y CLEAR. Es el tiempo mnimo en que debe activarse las

    entradas asncronas para garantizar su funcionamiento.

    Tiempo de retardo o propagacin. Es el tiempo que transcurre desde el flanco activo

    del reloj que produce la conmutacin y el momento en que sta tiene lugar.

    Un FLIP FLOP puede ser activado por nivel o maestro-esclavo.El activado por nivel se

    caracteriza por obedecer niveles de voltaje es decir 0 o 1. En cambio, un maestro-

    esclavo est formado por 2 FLIP FLOPs de nivel y uno depende del otro. Un circuito

    flip-flop puede mantener un estado binario indefinidamente (siempre y cuando se le

    est suministrando energa al circuito) hasta que se cambie por una seal de entrada

    para cambiar estados. La principal diferencia entre varios tipos de flip-flops es el

    nmero de entradas que poseen y la manera en la cual las entradas afecten el estadobinario de salida.

    1.2.2.1 TIPOS

    Existen varios tipos de Biestables los cuales se caracterizan por su forma de trabajo

    para una aplicacin determinada y por su tabla de verdad.

    La clasificacin es la siguiente:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    24/169

    24

    CARRERAS PROFESIONALES CIBERTEC

    1.2.2.1.1 FLIP FLOP RS

    Biestable, que se caracteriza por tener 01 estado prohibido. Se puede implementar

    con NANDS o NORS. RESET significa que la salida Q se pone a 0 y SET significa que

    la salida Q se pone a 1.

    La gran diferencia entre LATCH y FLIP FLOP es que el LATCH cambia de estado de

    acuerdo con sus variables de entrada, mientras que el FLIP FLOP cambia de estado

    de acuerdo con seales de control gobernadas externamente.

    Circuito flip-flop bsico con compuertas NOR o NAND

    El modo de trabajo es similar al LATCH con la diferencia que tienen seales de

    habilitacin que permiten presentar o limpiar una determinada informacin en cualquier

    momento.

    Flip-flop RS temporizado

    El flip-flop bsico por si solo es un circuito secuencial asincrnico. Agregando

    compuertas a las entradas del circuito bsico, puede hacerse que el flip-flop responda

    a los niveles de entrada durante la ocurrencia del reloj. El flip-flop RS temporizado

    mostrado en la siguiente figura consiste en un flip-flop bsico formado por compuertas

    NANDS. Las salidas de las dos compuertas NANDS permanecen en uno, mientras el

    pulso del reloj (abreviado en ingls Ck) sea 0, independientemente de los valores de

    entrada S y R se permite llegar al flip-flop bsico.

    El estado de puesta a uno (Q=1) se logra con S=1, R=0, y Ck=1. Para cambiar alestado de puesta a cero (Q=0) las entradas deben ser S=0, R=1 y Ck = 1. Con S=1,

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    25/169

    CIRCUITOS DIGITALES I I 25

    CIBERTEC CARRERAS PROFESIONALES

    R=1 y CK=1, la ocurrencia de los pulsos de reloj causar que ambas salidas vayan

    momentneamente a 1. El estado del flip-flop ser indeterminado, es decir, podra

    resultar cualquier estado, dependiendo de si la entrada de puesta a uno o la de puesta

    a cero del flip flop bsico permanezca el mayor tiempo, antes de la transicin a 0 al

    final del pulso.

    El flip flop RS sincronizado o temporizado tiene tres entradas: S, R

    y Ck. La entrada Ck se reconoce fcilmente por un pequeo

    tringulo. El tringulo es un smbolo para el indicador dinmico y

    denota el hecho de que el flip-flop responde a una transicin del

    reloj de entrada o flanco de subida de una seal de un nivel bajo (o

    binario) a un nivel alto (1 binario). Las salidas del flip-flop se marcan con Q y /Q dentro

    del recuadro.

    El RS sncrono se caracteriza por manejar un reloj que de acuerdo a un valor 0 0 1

    permitir guardar o no un determinado dato. Este F/F RS se emplea de esta manera

    para poder guardar informacin sin intervenir en el dato; por esta razn, se le conoce

    como el F/F RS sincronizado.

    CK R S Qt+1

    0 X X Qt

    1 0 0 Qt

    1 0 1 1

    1 1 0 0

    1 1 1 No usar

    1.2.2.1.2 FLIP FLOP D

    El flip-flop D es una modificacin del flip-flop RS sincronizado, al cual se le ha

    agregado un inversor. La entrada D va directamente a la entrada S y su complemento

    se aplica a la entrada R a travs del inversor. Mientras que el pulso de reloj de entrada

    sea un 0, no se produce ningn cambio en el flip flop. La entrada D se comprueba

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    26/169

    26

    CARRERAS PROFESIONALES CIBERTEC

    durante la ocurrencia del pulso de reloj. Si es 1, la salida del flip-flop cambia al estado

    de puesta a uno (a no ser que ya est en ese estado). Cuando D est en 0, la salida

    del flip-flop cambia al estado de borrado o cero.

    De tal manera que nunca R y S son iguales, pues el inversor se

    encarga de que no lo sean por lo tanto en vez de tener dos

    entradas R y S se tiene solo una y se llama D. Este flip flop tipo D

    se emplea mucho en circuitos donde es necesario retener un BIT.

    Ello es el principio bsico de la memoria. En general, se representa

    el flip-flop D con el smbolo mostrado a la derecha.

    A continuacin, se muestra su tabla de verdad:

    Actualmente, los Flip flops tipo D vienen con 2 seales ms

    PRESET y el CLEAR. El PRESET permite preestablecer el estado

    de su salida o CLEAR borrar el estado de su salida.

    Efectivamente, se debe TENER CUIDADO DE PONER Pr o Cr

    ambos a cero. Si no se utilizan, ambos deben fijarse a uno.

    CK D Qt+1

    0 X Qt

    1 0 0

    1 1 1

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    27/169

    CIRCUITOS DIGITALES I I 27

    CIBERTEC CARRERAS PROFESIONALES

    1.2.2.1.3 FLIP FLOP JK

    Tiene 2 entradas y ningn estado prohibido. Su diseo circuital es el siguiente:

    Su tabla de verdad es la siguiente:

    CK J K Qt+1

    0 X X Qt

    1 0 0 Qt

    1 0 1 0

    1 1 0 1

    1 1 1 Qt

    En este caso, si se activa J, la salida se pone en nivel alto, es decir, 1; si se activa k, la

    salida se pone en nivel bajo, es decir, 0. En cambio, si no se activan ninguna de las

    entradas, el biestable permanece en el estado que posea tras la ltima operacin de

    borrado o grabado. Por otro lado, si se activan las 2 entradas la salida adquirir el

    estado contrario al que tena previamente.

    Su ecuacin caracterstica es:

    A continuacin se muestra el flip/flop JK en su modo nativo:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    28/169

    28

    CARRERAS PROFESIONALES CIBERTEC

    Flip Flop JK activado por flancos

    Junto con las entradas J y K, existe una entrada C de sincronismo o de reloj cuya

    funcin es la de permitir el cambio de estado cuando se produce un flanco de subida o

    de bajada, segn sea el caso. De acuerdo con la tabla de verdad, cuando las entradas

    J y K estn a nivel lgico 1, a cada flanco activo en la entrada de reloj, la salida del

    biestable cambia de estado.

    De acuerdo con la tabla de verdad del

    Flip Flop JK, cuando las entradas J y K

    estn a nivel lgico 1, a cada flanco

    activo en la entrada de reloj, la salida del

    biestable cambia de estado. En el

    siguiente ejemplo, se asume que se

    tiene un flip flop con 2 entradas 1J y 1K

    maneja un reloj de entrada C1. Note que el reloj en la figura (a) se activa con 1 y en la

    figura (b) se activa con 0.

    En (a) se activa la salida por flancos de subida, en (b) se activa la salida con flancos

    de bajada.

    Flip Flop JK maestro esclavo con cierre de datos

    Las entradas se activan durante el flanco de subida del reloj, mientras que la salida se

    activa con el flanco de bajada del mismo pulso. Cualquier cambio que se produzca en

    las entradas durante el tiempo en que el reloj se encuentre a nivel alto, no produce

    ningn cambio en la salida.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    29/169

    CIRCUITOS DIGITALES I I 29

    CIBERTEC CARRERAS PROFESIONALES

    1.2.2.2.3 FLIP FLOP T

    Se define en base a un JK.Este flip flop tiene una sola entrada; asimismo, tiene slo

    dos condiciones: cuando T = 0 (J=K=0), una transicin de reloj no cambia el estado del

    flip-flop, es decir, mantiene su estado. Cuando T = 1 (J=K=1), una transicin de reloj

    complementa el estado del flip-flop, es decir, su estado anterior se complementa.

    A continuacin, se muestra su smbolo y su tabla de verdad:

    CK T Qt+1

    0 X Qt

    1 0 Qt

    1 1Qt

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    30/169

    30

    CARRERAS PROFESIONALES CIBERTEC

    Res!e"

    Los Flip flops representan los elementos fundamentales sobre los que se sustenta

    el funcionamiento de los dems circuitos secuenciales. Es importante tomar en

    cuenta que los flip flops necesitan de una orden externa (control) para poder

    procesar una determinada informacin.

    Existen varios tipos de flip flops que pueden representar diversas secuencias de

    salida, tenemos los flip flops tipo R-S, tipo D, tipo J-K y T. Los tipo D comnmente

    se aplican en sistemas de almacenamiento de informacin, mientras que los J-K y

    T en sistemas de cuenta.

    Si desea saber ms acerca de estos temas, puede consultar las siguientes

    pginas:

    http://www.electronica2000.com/digital/cirlogse.htm

    Aqu encontrars informacin sobre cmo se generan los latchs y flip flops.

    Tambin, hallar informacin sobre definiciones y sistemas realimentados que

    generan una determinada secuencia.

    http://www.monografias.com/trabajos14/flipflop/flipflop.shtml

    Aqu podr analizar la funcin de los diferentes tipos de flip flop que existen.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    31/169

    CIRCUITOS DIGITALES I I 31

    CIBERTEC CARRERAS PROFESIONALES

    SISTEMAS DE ALMACENAMIENTO TEMPORAL

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea diferentes tipos de registros y una

    memoria bsica, aplicando arreglo de puertas lgicas y Flip-Flops.

    TEMARIO Registros

    Tipos

    ACTIVIDADES PROPUESTAS

    Los alumnos mediante software de simulacin implementan registros PIPO,

    PISO, SIPO y SISO utilizando flip-flops y puertas lgicas.

    Los alumnos implementan en protoboard dichos circuitos.

    UNIDAD DE

    APRENDIZAJE

    2

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    32/169

    32

    CARRERAS PROFESIONALES CIBERTEC

    2.1 REGISTROS

    Son sistemas digitales de almacenamiento temporal de informacin; asimismo, se

    estructuran asociando flip flops, ya que un flip flop es una celda binaria capaz dealmacenar un bit de informacin y un registro de n-bit tiene un grupo de n flip-flop y es

    capaz de almacenar cualquier informacin binaria que contenga n bits.

    Adems de los flip-flops, un registro puede tener compuertas combinacionales que

    realicen ciertas tareas de procesamiento de datos. En su definicin ms amplia, un

    registro consta de un grupo de flip-flop y compuertas que efectan una transicin. Los

    flip flop mantienen la informacin binaria y las compuertas controlan cuando y como se

    transfiere informacin nueva al registro.

    En un registro, es necesario que todos los flip flops que lo conforman estn

    gobernados por un mismo reloj de control, de tal manera que, cuando se da la orden

    de grabar, se retenga el nmero de bits deseado.

    Estn disponibles varios registros en la forma de circuito integrado (chip). El registro

    ms sencillo consta de flip-flop solos sin ninguna compuerta externa.

    Algunas de sus aplicaciones se listan a continuacin:

    Transmitir y recibir datos en serie paralelo y viceversa.

    Conversin de datos serie paralelo y viceversa.

    Almacenamiento de informacin (memoria)

    Puertos de salida de los microcontroladores.

    Secuenciadores de luces y avisos publicitarios.

    Multiplicadores y divisores de frecuencia.

    2.1.1 TIPOS Y APLICACIONES

    Existen varios tipos de registros que realizan diferentes funciones de acuerdo a una

    determinada necesidad; por ejemplo, los de almacenamiento temporal, de

    desplazamiento y de desplazamiento bidireccional.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    33/169

    CIRCUITOS DIGITALES I I 33

    CIBERTEC CARRERAS PROFESIONALES

    2.1.1.1 REGISTROS DE ALMACENAMIENTO TEMPORAL

    Est construido con flip-flop tipo D

    y una entrada comn de pulsos de

    reloj. La entrada de pulsos de reloj,

    CK, habilita todos los flip-flop de

    modo que la informacin presente

    disponible en las entradas puede

    transferirse al registro. Las salidas

    pueden muestrearse para obtener

    la informacin almacenada en ese momento en el registro.

    El registro es un dispositivo para almacenar informacin y guardarla

    momentneamente. Esto se realiza aplicando un pulso de reloj. Si el registro estuviera

    conectado al reloj principal, estara cambiando constantemente en cada bajada.

    La informacin presentada en una entrada de informacin D se transfiere a la salida Q

    cuando el pulso de habilitacin CK es activado con su nivel respectivo y la salida Q

    sigue los datos de entrada en tanto la seal CK permanezca activada. Cuando CK se

    desactiva, la informacin que estaba presente en la entrada de informacin

    precisamente antes de la transicin se retiene en la salida Q. En otras palabras, los

    flip-flop son sensitivos a la duracin del pulso y el registro se habilita mientras CP = 1.

    Hay varias mejoras que pueden realizarse a este diseo. Muchos registros vienen con

    seales asincrnicas de set y clear, tal como se muestra en el siguiente circuito:

    Como se muestra, un voltaje bajo (0 voltios) en la seal de set, pondr las salidas en

    1. Un voltaje bajo en la seal de clear pondr el valor de las salidas en 0.

    Aplicacin

    Los registros tipo D se emplean para almacenamiento momentneo de informacincomo es el caso de los registros que maneja el microprocesador, para procesar sus

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    34/169

    34

    CARRERAS PROFESIONALES CIBERTEC

    operaciones aritmticas o lgicas. Esta informacin se almacena momentneamente y

    se altera constantemente, de acuerdo con los pulsos de reloj del circuito de

    sincronismo del sistema. En este caso, el tamao y nmero de los registros vara de

    acuerdo con el tipo de procesador que se tiene.

    Por otro lado, se emplean registros tipo D para disear memorias de gran capacidad

    de almacenamiento. En este caso, todos los registros tienen el mismo tamao y son

    por miles o millares, se ubica un registro determinado por el bus de direcciones, estetipo de almacenamiento tambin es temporal. Es decir, la informacin almacenada se

    pierde cuando se retira la polarizacin o se apaga el equipo.

    2.1.1.2 REGISTROS DE DESPLAZAMIENTO

    Son un conjunto de flip-flop conectados en cascada, con la salida de un flip-flop

    conectada a la entrada del siguiente flip-flop. Todos reciben un pulso comn de reloj

    que causa el corrimiento de una etapa a la siguiente. Comnmente, se emplean los flip

    flops tipo D, pero pueden construirse tambin en base a flip/flops tipo JK.

    2.1.1.2.1 Registro de desplazamiento entrada serial y salida serial (SISO)

    Puede implementarse con flip/flops tipo D. Funciona de la siguiente manera: se aplica

    datos a la entrada en forma secuencial al primer flip/flop (en este caso al que est a la

    izquierda, ver grfico). Con cada pulso de reloj, se transmite la seal, en este caso, de

    izquierda a derecha. Los datos son extrados en forma serial.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    35/169

    CIRCUITOS DIGITALES I I 35

    CIBERTEC CARRERAS PROFESIONALES

    La salida serial puede realimentarse para obtener un ciclo repetitivo de la siguiente

    manera:

    Los circuitos de desplazamiento se basan en una determinada secuencia gobernada

    por un reloj como se muestra en la siguiente figura, donde por cada pulso de reloj en elflanco de subida el bit se desplaza en forma serial de un registro a otro en forma

    consecutiva y serialmente.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    36/169

    36

    CARRERAS PROFESIONALES CIBERTEC

    Aplicacin

    Este tipo de registros se pueden emplear para sistemas de control, circuitos de

    refresco, sistemas de temporizacin, divisor de frecuencias etc. Por ejemplo, un

    circuito de refresco es un tren de pulsos necesario para impedir que la informacin enun determinado tipo de memoria se pierda (memoria dinmica).

    2.1.1.2.2 Registro de desplazamiento entrada serial y salida paralela (SIPO)

    En este caso, se puede obtener la salida en forma paralela de acuerdo a lo mostrado

    en la figura. La diferencia del circuito anterior se basa solo en la forma de mostrar los

    datos de salida.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    37/169

    CIRCUITOS DIGITALES I I 37

    CIBERTEC CARRERAS PROFESIONALES

    Aplicacin

    Se emplea este tipo de arreglo por ejemplo, para leer una informacin de un puerto

    serial, sistema de adquisicin de datos, etc. Es importante tomar en cuenta que este

    tipo de procesamiento es til en aquellos sistemas donde es necesario convertir una

    informacin serie paralelo, por ejemplo, en el caso de lectura de un puerto.

    Normalmente, la comunicacin de informacin a nivel distante o remoto es serial, pero

    una vez que la informacin ha llegado a su destino es necesario convertirla a paralela,

    porque los sistemas digitales internamente procesan la informacin en grupo de bits

    (bytes) este tipo de registros permiten realizar dicha operacin.

    Un ejemplo de este tipo de arreglo es el siguiente:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    38/169

    38

    CARRERAS PROFESIONALES CIBERTEC

    En ste, la entrada es serial y la salida es paralela. Note que el flip flop JK se encarga

    de habilitar al generador de reloj que es el control del arreglo serie paralelo. El

    segundo arreglo, serie paralelo es controlado por un divisor de frecuencias para dar el

    retardo de tiempos que se requiera.

    2.1.1.2.3 Registro de desplazamiento entrada paralela y salida serial (PISO)

    En este caso, con un arreglo de puertas lgicas se pueden recibir los datos en paralelo

    y, de acuerdo con las seales de control, se va a lograr el desplazamiento en forma

    serial. No olvidar que se tiene una sola salida.

    Aplicacin

    Normalmente este tipo de arreglo se emplea en avisos luminosos o en transferencia

    de informacin (adquisicin de datos). En este caso, la informacin se recibe en

    paralelo y se transfiere en forma serial para manejo de mouse o cualquier perifrico

    serial que lo necesite.

    Como se sabe, la computadora, por ejemplo, procesa la informacin internamente en

    forma paralela, de ello proviene la definicin de bus de datos (grupo de seales que

    viajan a la vez), pero los perifricos que emplea no necesariamente son paralelos, por

    ejemplo, una impresora serial. En ese caso, es necesaria esa conversin de paralelo aserie que este arreglo de registros puede conseguir.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    39/169

    CIRCUITOS DIGITALES I I 39

    CIBERTEC CARRERAS PROFESIONALES

    Por ejemplo,

    en el siguiente

    arreglo, se

    observa que el

    sistema de

    datos puede

    transferirse de

    serie a

    paralelo o de

    paralelo a serie segn se desee. El UART (Universal Sncrono Asncrono Receptor

    Transmisor) es el integrado programable que se encarga de ello.

    Diagrama de bloques de una entrada/salida serie paralelo

    2.1.1.2.4 Registro de desplazamiento entrada paralela y salida paralela (PIPO)

    Los datos ingresan en forma paralela y las salidas se toman de la misma forma. Todo

    est en funcin de la seal de reloj del sistema.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    40/169

    40

    CARRERAS PROFESIONALES CIBERTEC

    Aplicacin

    Este tipo de arreglos es muy usado para retener datos que posteriormente se van a

    procesar. Tal es el caso de los registros de un microprocesador que almacenan

    informacin momentneamente para poder comparar, sumar o tomar alguna decisin

    de acuerdo a determinadas informaciones.

    2.1.1.2.5 Registro de desplazamiento bidireccional

    Hasta el momento, se han realizado desplazamientos de datos en un solo sentido de

    izquierda a derecha, pero podran realizarse desplazamientos de izquierda a derecha y

    de derecha a izquierda; para ello, se tendra que realizar el proceso inverso al

    realizado en los ejemplos anteriores y ambos ser manejados por un control maestro.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    41/169

    CIRCUITOS DIGITALES I I 41

    CIBERTEC CARRERAS PROFESIONALES

    Aplicacin

    Este tipo de configuracin es empleado para transferir datos en una comunicacin, ya

    sea serial o paralela en el caso de las computadoras, como ya se ha mencionado. La

    comunicacin es serial internamente; por lo tanto, se debe transferir la data de

    perifrico a memoria o viceversa por medio de este tipo de arreglos. No olvidarse que

    el bus de datos es bidireccional.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    42/169

    42

    CARRERAS PROFESIONALES CIBERTEC

    2.1.2 Registro en un IC

    Existen varios circuitos integrados que internamente son registros con lgica TTL y

    CMOS.

    2.1.2.1 IC 74373

    Contiene 8 Latchs tipo D tri-state

    D0...D7: Entrada paralelo

    Q0...Q7: Salida paralelo

    LE: Latch Enable

    OE: Output Enable

    Este circuito integrado tiene 8 LATCHs tipo D y 3 estados de salida 1 lgico, 0 lgico y

    alta impedancia. Integrado muy usado para direccionar datos, forma parte del

    hardware necesario para controlar el bus de direcciones en un computador por

    ejemplo.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    43/169

    CIRCUITOS DIGITALES I I 43

    CIBERTEC CARRERAS PROFESIONALES

    2.1.2.2 IC 74374

    Contiene 8 flip/flops tipo D con salida tri-state sensible a los flancos de subida.

    Este circuito integrado tiene una funcin parecida al IC 373, pero maneja una seal de

    reloj que permite el control en una determinada secuencia.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    44/169

    44

    CARRERAS PROFESIONALES CIBERTEC

    Res!e"

    Los registros son dispositivos de almacenamiento temporal que permiten guardar

    informacin momentneamente.

    Existen de cuatro tipos PIPO de entrada paralela y salida paralela, PISO de

    entrada paralela y salida serie, SIPO de entrada serie y salida paralela y SISO de

    entrada serie y salida serie.

    Cada vez que se aplica un pulso de reloj en los registros, estos ingresan lainformacin y la desplazan a travs de un corrimiento en cascada.

    Un registro comn pierde su informacin almacenada tras recibir varios impulsos

    de reloj. Para evitar esta prdida de informacin, se adaptan circuitos de

    realimentacin consiguiendo reingresar el ltimo bit del registro como entrada de

    datos.

    Si desea saber ms acerca de estos temas, puede consultar las siguientespginas:

    http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060401.htm

    Aqu encontrar las diferentes formas de formar registros con flip flops.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    45/169

    CIRCUITOS DIGITALES I I 45

    CIBERTEC CARRERAS PROFESIONALES

    SISTEMAS DE ALMACENAMIENTO TEMPORAL

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea diferentes tipos de registros y una

    memoria bsica aplicando arreglo de puertas lgicas y Flip-Flops.

    TEMARIO

    Memorias

    Tipos

    ACTIVIDADES PROPUESTAS

    Los alumnos mediante software de simulacin implementan circuitos de

    lectura y escritura de datos empleando memorias RAM.

    UNIDAD DE

    APRENDIZAJE

    2

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    46/169

    46

    CARRERAS PROFESIONALES CIBERTEC

    2.2 MEMORIAS

    Son dispositivos de almacenamiento de informacin que pueden ser voltiles o no. Se

    llama memoria voltil a aquella memoria que pierde la informacin cuando se retira la

    polarizacin al integrado. Ejemplos: memoria RAM, cach, etc.

    Memoria no voltil es aquella que no pierde la informacin incluso si se retira la

    polarizacin del integrado. Es de suponer que en este tipo de memorias se almacena

    informacin importante. Un ejemplo de este tipo son las memorias flash que

    actualmente se emplean para almacenar el programa vital BIOS de los sistemas y que

    se puede actualizar por software en forma sencilla.

    Una memoria contiene millones de registros y normalmente almacenan programas,

    datos e incluso direcciones que posteriormente se van a usar para el proceso de

    determinada informacin. Las memorias constan de un bus de datos, de un bus de

    direcciones y de un bus de control. Normalmente, una memoria tiene un arreglo

    matricial, es decir, el bus de direcciones definen filas y columnas para poder acceder

    a una celda como se puede apreciar

    en la siguiente figura.

    En este ejemplo, las columnas estn

    representadas por las X1, X2, X3 y las

    filas por las Y1, Y2, Y3, Y4, Y5. La

    seal de control indica el momento en

    que se desea leer o escribir un dato en

    la celda definida por el bus de

    direcciones (fila y columna

    determinada).

    Las memorias se diferencian de los registros porque tienen mayor capacidad de

    almacenamiento. Una memoria es un conjunto de muchos registros.

    Las memorias cumplen un rol importante en todo sistema inteligente, pues en estas se

    almacenan programas que pueden procesar informacin, tomar decisiones, predecir

    acciones e incluso hacer un muestreo de la informacin aunque este incompleta.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    47/169

    CIRCUITOS DIGITALES I I 47

    CIBERTEC CARRERAS PROFESIONALES

    2.2.1 TIPOS DE MEMORIAS

    Estas pueden ser voltiles o no voltiles.

    2.2.1.1 Memorias voltiles

    Las memorias voltiles se implementan, generalmente, asociando registros y estos, a

    su vez, son creados empleando flip flops tipo D. El tamao de la memoria depender

    de la cantidad de registros que esta contenga.

    Cada registro es accesado individualmente en la memoria, ya sea para leer o escribir

    algn dato sobre este. Por esto, las memorias disponen de lneas de direccionamiento

    que permiten seleccionar entre sus diferentes registros. Estas lneas son conocidas

    comnmente como bus de direccin.

    Las memorias estticasson un tipo de memorias voltiles y se caracterizan por ser

    rpidas. Estas, comnmente, son las llamadas memorias Cache en un computador.

    Las memorias dinmicasson tambin voltiles pero se diferencias de las estticas

    en que son ms fciles de fabricar, no cuestan mucho y no emplean mucho hardware

    en su construccin. Este tipo de memorias se emplean mucho en el almacenamientode programas o aplicativos que se van posteriormente a modificar y son tambin las

    llamadas memorias principales en un computador.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    48/169

    48

    CARRERAS PROFESIONALES CIBERTEC

    2.2.1.2 Memorias no voltiles

    Existen otro tipo de memorias no son voltiles es decir que mantienen la informacin

    incluso si no hay energa que los alimente. Este tipo de memorias almacenan

    informacin vital de un sistema y; por lo tanto, su informacin no debe perderse por

    ningn motivo.

    Las primeras memorias de este tipo fueron las ROM,memorias de solo lectura.

    Luego vino la PROM (Rom programable), memoria que vena de fbrica sin

    informacin, pero que se poda programar por hardware 1 sola vez convirtindose

    luego en ROM.

    Posteriormente se disea la EPROM, memoria que se puede grabar por medio

    elctrico y borrar por exposicin a luz ultravioleta. Es decir, si te equivocabas en la

    programacin, podas borrar la memoria exponiendo a esta memoria a rayos

    ultravioletas. Es por eso que tienen una ventana en su centro para poder borrar la

    informacin.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    49/169

    CIRCUITOS DIGITALES I I 49

    CIBERTEC CARRERAS PROFESIONALES

    La memoria EEPROM,memoria elctricamente grabable y elctricamente borrable, es

    decir la informacin se puede grabar o borrar por medios elctricos, pero para eso se

    necesita de cierto tipo de hardware que, en forma convencional, no se podra realizar.

    Actualmente, el tipo de memorias que se emplean es la memoria FLASH que se

    puede actualizar por software.

    2.2.2 Acceso a las memorias

    .El acceso a las memorias se realiza a travs de tres buses de comunicacin, llamados

    bus de direcciones, bus de datos y bus de control.

    El bus de Direcciones

    Es el conjunto de lneas que permiten seleccionar a uno de los tantos registros

    contenidos en la memoria para su posterior manipulacin (leer o escribir algn dato

    sobre este).

    El bus de datos

    Es un conjunto de lneas que transportan la informacin que se lee o escribe en la

    memoria para luego procesarla de acuerdo a un determinado fin. Generalmente, se

    almacenan programas que posteriormente van a ser procesados de acuerdo a una

    determinada condicin.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    50/169

    50

    CARRERAS PROFESIONALES CIBERTEC

    Bus de control

    Es el conjunto de lneas que transportan seales que permiten habilitar la memoria en

    el momento deseado para leer o escribir sobre esta.

    Se puede sintetizar todo lo explicado en el siguiente grfico:

    La memoria est caracterizada por dos propiedades fundamentales:

    Capacidad de memoria

    Tiempo de acceso

    La capacidad de memoria o capacidad de almacenamiento viene definida por el

    nmero de bits de almacenamiento existente y el nmero de la longitud de palabras, la

    capacidad de la memoria se indica en

    Kbytes. En un sistema, la capacidad

    puede ampliarse indefinidamente, sin que

    tengan que cambiarse las unidades de

    E/S. El lmite de la memoria est limitado

    por la capacidad de direccionamiento del

    procesador que la administra

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    51/169

    CIRCUITOS DIGITALES I I 51

    CIBERTEC CARRERAS PROFESIONALES

    Velocidad de acceso es el tiempo que se necesita para localizar y leer una

    informacin almacenada; el tiempo de acceso es una caracterstica importante para

    determinar la velocidad de resolucin de un sistema, entindase por velocidad de

    resolucin al tiempo que toma un sistema en procesar su informacin.

    Conociendo el tiempo de acceso, se

    puede predecir el tiempo necesario

    para procesar una informacin. Si

    algunas celdas de memoria se

    alcanzan ms rpidamente que otras,

    se suele tomar el valor promedio de

    todas ellas. Se dice entonces el tiempo

    de acceso promedio.

    Existen varias tcnicas para mejorar la

    velocidad de acceso, por ejemplo, el

    modo de paginacin rpido, que

    consiste en lo siguiente. Normalmente,

    para acceder a una celda se necesitan 02 pulsos de reloj: uno para determinar la fila y

    otro para determinar la columna. Una vez definido estos 02 parmetros, se puede leer

    o escribir sobre la celda.

    Con la tcnica de paginacin rpida, solo se necesitan de estos 02 pulsos al inicio,

    pues las siguientes ubicaciones se determinan variando solamente la fila o la columna;

    por lo tanto, se ahorra un pulso de reloj para la ubicacin. Es decir, la velocidad de

    acceso es mucho mayor.

    Escritura en una memoriaEn principio, se escribe en un ciclo de bus que equivale a 04 pulsos de reloj T1, T2, T3

    y T4. Luego, empieza, con la seal ALE, la habilitacin del bus de direccin en T1, en

    efecto, aparece el bus de direccin vlido en T1. Para escribir en una memoria, se

    tiene que dar la orden de WR por el bus de control periodo T2 y T3. Esta orden es de

    valor bajo a 0 lgico. La seal de lectura o escritura a perifrico est deshabilitada en

    todos los periodos. Los datos a escribir aparecen en el periodo T2 y T3. Finalmente, el

    periodo T4 es para desactivar todas las seales de control y prepararse para el

    siguiente ciclo de bus.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    52/169

    52

    CARRERAS PROFESIONALES CIBERTEC

    Lectura en una memoria

    En principio, se lee en un ciclo de bus que equivale a 04 pulsos de reloj T1, T2, T3 y

    T4. Luego, empieza, con la seal ALE, la habilitacin del bus de direccin en T1,

    obviamente, aparece el bus de direccin vlido en T1. Para leer en una memoria, se

    tiene que dar la orden de RD por el bus de control periodo T2 y T3. Esta orden es de

    valor bajo a 0 lgico; la seal de lectura o escritura a perifrico est deshabilitada en

    todos los periodos y aparece la informacin por el bus de datos en T3, por lo cual se

    da tiempo a la memoria para acceder a los datos. El periodo T4 es para desactivar

    todas las seales de control, y prepararse para el siguiente ciclo de bus.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    53/169

    CIRCUITOS DIGITALES I I 53

    CIBERTEC CARRERAS PROFESIONALES

    Res!e"

    Las memorias son dispositivos que almacenan informacin binaria. Existen

    bsicamente de dos tipos: las voltiles y no voltiles. Las primeras se caracterizan

    por perder su informacin apenas se les quita la energa; en cambio, las segundas

    no la pierden an si estn sin energa por aos.

    En el grupo de las voltiles, la memoria representativa es la RAM, en sus

    versiones esttica y dinmica; y, en el grupo de las no voltiles, se tienen la ROM

    como la ms representativa y sus variaciones PROM, EPROM, EEPROM y en laactualidad las FLASH.

    Para escribir en la memoria, de debe fijar en las lneas de datos el dato que

    deseamos escribir, fijar en las lneas de direccin la ubicacin de la celda o registro

    que almacenar dicha informacin, y, finalmente, dar la orden de escritura a travs

    de la lnea WR.

    Para leer de una memoria, basta con fijar en las lneas de direccin la ubicacinde la celda o registro que deseamos leer, y dar la orden de lectura a travs de la

    lnea RD.

    Si desea saber ms acerca de estos temas, puede consultar las siguientes

    pginas:

    http://www.uib.es/depart/dfs/GTE/education/industrial/sis_ele_digitals/Problemes/

    Hoja5.pdf

    Aqu hallar ejercicios sobre memorias.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    54/169

    54

    CARRERAS PROFESIONALES CIBERTEC

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    55/169

    CIRCUITOS DIGITALES I I 55

    CIBERTEC CARRERAS PROFESIONALES

    CIRCUITOS DE TEMPORIZACIN Y CUENTA

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea circuitos de temporizacin y

    generadores de reloj aplicando el Timer LM555. Adems, disea sistemas de

    cuenta aplicando contadores binarios (7493), contadores BCD (7490) y

    contadores ascendentes descendentes programables (74193).

    TEMARIO

    Temporizador o Timer

    Disposicin de contactos

    Modos de operacin

    Aplicaciones

    ACTIVIDADES PROPUESTAS

    Los alumnos, mediante software de simulacin, implementan circuitos de

    temporizacin astables y monoestables.

    Los alumnos implementan en protoboards dichos circuitos.

    UNIDAD DE

    APRENDIZAJE

    3

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    56/169

    56

    CARRERAS PROFESIONALES CIBERTEC

    3.1 TEMPORIZADOR O TIMER

    El temporizador 555 fue introducido al mercado en el ao 1971, por la empresa

    Signetics Corporation con el nombre SE555 / NE555. Este integrado fue llamado

    "The IC Time Machine" (el Circuito integrado mquina del tiempo"), que en esos

    momentos era el nico integrado de su tipo disponible. En la actualidad, existen otros

    proveedores de este circuito integrado, como la empresa On Semiconductor con el

    MC1455.

    Este Circuito Integrado (C.I.) es para los experimentadores y aficionados un dispositivo

    barato con el cual pueden hacer muchos proyectos. Es tan verstil que se puede

    incluso utilizar para modular una seal en frecuencia y lograr lo que se conoce como

    una seal de frecuencia modulada (F.M.)

    Est constituido por una combinacin de comparadores lineales, Flip-Flops (bsculas

    digitales), transistor de descarga y excitador de salida.

    Los voltajes de referencia de los

    comparadores se establecen en

    2/3 V para el primer comparador

    C1 y en 1/3 V para el segundo

    comparador C2, por medio del

    divisor de voltaje compuesto por

    3 resistores iguales R.

    En estos das, se fabrica una

    versin CMOS del 555 original,

    como el National

    Semiconductor LMC555, que

    es muy popular. Pero la versin

    original sigue producindose con

    mejoras y algunas variaciones a

    sus circuitos internos.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    57/169

    CIRCUITOS DIGITALES I I 57

    CIBERTEC CARRERAS PROFESIONALES

    El temporizador 555 es muy popular para hacer osciladores que sirven como reloj

    (base de tiempo) para el resto del circuito.

    3.1.1 DISPOSICIN DE CONTACTOS

    El timer 555 tiene 8 contactos y se describen a continuacin:

    1 - Tierrao masa

    2 - Disparo:Es en esta patilla, donde se establece el inicio del tiempo de retardo, si el

    555 es configurado como monostable. Este proceso de disparo ocurre cuando este pin

    va por debajo del nivel de 1/3 del voltaje de alimentacin.

    Este pulso debe ser de corta duracin, pues si se mantiene bajo por mucho tiempo la

    salida se quedar en alto hasta que la entrada de disparo pase a alto otra vez.

    3 - Salida:Aqu veremos el resultado de la operacin del temporizador 555, ya sea

    que est conectado como monostable, astable u otro.

    Cuando la salida es alta, el voltaje de salida es el voltaje de aplicacin (Vcc) menos

    1.7 Voltios.

    4 - Reset:Si se pone a un nivel por debajo de 0.7 Voltios, pone la salida a nivel bajo.

    Si por algn motivo esta patilla no se utiliza, hay que conectarla a Vcc para evitar que

    el 555 se resetee".

    5 - Control de voltaje: Cuando el temporizador 555 se utiliza en el modo de

    controlador de voltaje, el voltaje en esta patilla puede variar casi desde Vcc (en laprctica como Vcc-1 voltio) hasta casi 0V (en la prctica aprox. 2 Voltios). As es

    posible modificar los tiempos en que la salida est en alto o en bajo independiente del

    diseo (establecido por las resistencias y condensadores conectados externamente al

    555).

    El voltaje aplicado a este contacto puede variar entre un 45% y un 90 % de Vcc en la

    configuracin monostable.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    58/169

    58

    CARRERAS PROFESIONALES CIBERTEC

    Cuando se utiliza la configuracin astable, el voltaje puede variar desde 1.7 voltios

    hasta Vcc. Modificando el voltaje causar que la frecuencia original del astable sea

    modulada en frecuencia (FM).

    Si no se utiliza, se recomienda poner un condensador de 0.01uF para evitar las

    interferencias.

    6 - Umbral:Es una entrada a un comparador interno que tiene el 555 y se utiliza para

    poner la salida a nivel bajo.

    7 - Descarga: Utilizado para descargar con efectividad el condensador externo

    utilizado por el temporizador para su funcionamiento.

    8 - V+: Tambin llamado Vcc, es el contacto donde se conecta el voltaje de

    alimentacin que va de 4.5 voltios hasta 16 voltios (mximo). Hay versiones militares

    de este integrado que llegan hasta 18 Voltios.

    3.1.2 MODOS DE OPERACIN

    El timer 555 puede operar en dos modos: como monostable y astable.

    3.1.2.1 EL 555 COMO MONOESTABLE

    En esta configuracin, el Timer entrega a su salida

    un solo pulso de un ancho establecido por el

    diseador (tiempo de duracin).

    Para que el pulso alto se genere, es necesarioaplicar al Timer un pulso bajo instantneo. A este

    pulso se le conoce como pulso de disparo.

    La frmula para calcular el tiempo de duracin (tiempo que la salida permanece en

    nivel alto) es:

    T = 1.1 x R1 x C1(en segundos)

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    59/169

    CIRCUITOS DIGITALES I I 59

    CIBERTEC CARRERAS PROFESIONALES

    El tiempo generado es en segundos, para lo cual el valor de la resistencia debe

    expresarse en ohmios y el condensador en faradios.

    3.1.2.1.1 ESQUEMA DE CONEXIN

    El siguiente circuito muestra las conexiones a realizar para obtener este modo de

    operacin:

    EJERCICIOS

    1- Para el siguiente circuito, cunto tardara el pulso de salida en nivel alto cada

    vez que se aplique un pulso de disparo?

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    60/169

    60

    CARRERAS PROFESIONALES CIBERTEC

    Aplicando la frmula:

    T = 1.1 x (10K) x (1000uF)

    Recordemos expresar la resistencia en ohmios y el condensador en faradios:

    T = 1.1 x 10x103x 1000 x 10

    -6= 1.1x 10

    4x 10

    3x 10

    -6= 1.1x10

    7x 10

    -6= 1.1 x 10

    T = 11 segundos

    2- Realice el procedimiento para calcular el tiempo en nivel alto de la salida en el

    siguiente circuito:

    T =

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    61/169

    CIRCUITOS DIGITALES I I 61

    CIBERTEC CARRERAS PROFESIONALES

    3- Si se quiere que el pulso dure 1 minuto y se utiliza C1=1000uF, qu valor de

    resistencia se debe utilizar?

    4- Si desea obtener 5 minutos de duracin, qu valores de resistencia y condensador

    utilizara?

    3.1.2.2 EL 555 COMO ASTABLE

    En esta configuracin, el temporizador 555 se caracteriza por generar una salida con

    forma de onda cuadrada (o rectangular) continua de ancho predefinido por el

    diseador del circuito.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    62/169

    62

    CARRERAS PROFESIONALES CIBERTEC

    La seal de salida tiene un nivel alto por un tiempo T1 y en un nivel bajo un tiempo T2.

    Los tiempos de duracin dependen de los valores de los resistores R1 y R2 y del

    capacitor C1 empleados en el circuito.

    T1 = 0.7(R1+R2) x C1

    T2 = 0.7 x R2 x C1

    Y la frecuencia con que la seal de salida oscila est dada por:

    f = 1.44 / (R1 + 2 x R2) x C1

    y el perodo es simplemente:

    T = 1 / f

    Pero, si se desea calcular el periodo de modo directo, sera:

    T = 0.7(R1+2R2) x C1

    3.1.2.2.1 ESQUEMA DE CONEXIN

    El siguiente circuito muestra las conexiones a realizar para obtener este modo de

    operacin:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    63/169

    CIRCUITOS DIGITALES I I 63

    CIBERTEC CARRERAS PROFESIONALES

    EJERCICIOS

    1- Si se tiene un timer en la configuracin astable y los valores empleados son: R1=2k,

    R2=9k y C1=10uF. Hallar el periodo y la frecuencia de la seal.

    Solucin:

    Clculo del periodo:

    T = 0.7 (2000 + 2 x 9000) 10 x10-6

    T = 0.7 (20000) 10 x 10-6

    T = 0.7 (20) x 103x 10

    -6

    T = 14ms

    Clculo de la frecuencia:

    F = 1/T = 1/14ms F = 1/T = (1/14) Khz = (1/14) x1000Hz

    F = 71,42Hz

    2- Si se dispone de un condensador de 10nF y una resistencia de 1K para R2,

    calcule el valor de la resistencia R1, si se desea generar una onda cuadrada que

    pueda ser empleada como portadora en la comunicacin infrarroja (38KHz).

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    64/169

    64

    CARRERAS PROFESIONALES CIBERTEC

    3.1.3 APLICACIONES

    A continuacin, listamos algunas aplicaciones del timer 555:

    3.1.3.1 DETECTOR DE HUMEDAD

    El detector de humedad es uno de los circuitos de mayor aplicacin en el automatismo

    electrnico. Tiene mucha utilidad en el sector agropecuario; adems, nos sirve en

    nuestros experimentos caseros para varias aplicaciones como detector de mentiras y

    similares.

    Principio de funcionamiento

    Creamos un oscilador con el LM555. Abrimos la lnea que conduce entre el pin 7 y 6

    que est conectada al pin de disparo. Al quedar en el aire, la lnea ve una alta

    resistencia, la cual es la del aire y por tanto quedar encendido un led al azar.

    Bajamos esta resistencia con un material hmedo, el cual tendr en paralelo la

    resistencia del aire con la del material hmedo. Este material puede ser arena, la piel,

    o el que se nos ocurra.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    65/169

    CIRCUITOS DIGITALES I I 65

    CIBERTEC CARRERAS PROFESIONALES

    Al ocurrir esta disminucin en la resistencia, se logra poner a oscilar el LM555 y se

    puede visualizar en los diodos led verde y rojo. La velocidad de oscilacin ser

    proporcional al grado de humedad del material a medir, es decir, cuanto ms hmedo,

    ms rpido ser la oscilacin.

    Luego, amplificamos esta seal y colocamos en la salida un rel para aplicar este

    circuito al control real de aparatos los cuales pueden manejarse a un voltaje diferente

    al de la tarjeta.

    3.1.3.2 DETECTOR DE PROXIMIDAD

    El detector de proximidad por infrarrojo es quizs uno de los circuitos de mayor

    aplicacin en el automatismo electrnico. Lo encontramos en dispensadores de agua

    automticos, los secadores de mano automticos y con algunas variantes lo

    encontramos en las puertas automticas de los grandes almacenes.

    Principio de funcionamiento

    Generamos una rfaga de pulsos de alta intensidad con el LM555 a baja frecuencia y

    los transmitimos por el led de chorro infrarrojo. Luego, los recibimos en un

    fototransistor colocado de tal manera que solo los reciba cuando un objeto refleje los

    pulsos.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    66/169

    66

    CARRERAS PROFESIONALES CIBERTEC

    Luego, procesamos esa seal para poder utilizarla en el encendido-apagado de

    nuestros aparatos. Para ello, colocamos un fototransistor, de tal manera que cuando

    haya una superficie que refleje los pulsos, bien sea una mano, un objeto cualquiera, a

    una distancia de unos 10 cm, este los pueda recibir y enviar a un amplificador de

    corriente, en este caso un par de transistores en configuracin darlington.

    Cuando est dbil seal alcanza una intensidad suficiente, debido a que se acerc un

    objeto, entonces logra disparar un temporizador de unos 10 segundos construido con

    un LM555. Luego, colocamos una interfase a transistor para alimentar un rel de 12 V

    5 PINES, el cual nos servir para controlar el aparato que queramos.

    3.1.3.3 CONTROL DE TEMPERATURA

    Este circuito no pretende lograr la funcin de un aire acondicionado, que permite una

    gran variacin de temperaturas.

    Lo que se desea es mantener, mediante un ventilador, la temperatura ambiente dentro

    un rango de 0.5 a 2 grados por debajo de la temperatura existente fuera del lugar en

    cuestin.

    Este circuito mantendr la temperatura de una habitacin a un nivel agradable

    dependiendo del valor del termistor (resistor que vara su valor (su resistencia) de

    acuerdo a la temperatura).

    Si la temperatura sube, ms rpido gira el ventilador. Si la temperatura baja, el

    ventilador disminuye su velocidad.

    Hay que tener cuidado de que la temperatura no tenga siempre al ventilador almximo. Esto se controla variando, si es necesario, el valor del resistor de 2.7 K.

    El temporizador 555, en configuracin astable, est funcionando como oscilador y la

    frecuencia base de oscilacin es establecida por los 2 resistores de 100 K y el

    capacitor de 0.047 uF

    El termistor, al variar el valor de su resistencia cuando la temperatura ambiente

    cambia, vara el valor del nivel de voltaje que se aplica a la patilla # 5 (patilla decontrol) del circuito integrado 555.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    67/169

    CIRCUITOS DIGITALES I I 67

    CIBERTEC CARRERAS PROFESIONALES

    Esto causa que la frecuencia a la que oscila el temporizador se modifique de su valor

    base establecido.

    La salida de este oscilador (patilla # 3 del 555) es aplicada a un transistor que a su vez

    maneja el pequeo motor elctrico CC de 12 voltios del ventilador.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    68/169

    68

    CARRERAS PROFESIONALES CIBERTEC

    Res!e"

    El Timer es un circuito temporizador que puede operar en dos modos:

    Monoestable y astable.

    En modo monoestable genera un pulso alto a partir de un pequeo pulso de

    disparo. La duracin en alto del pulso generado depende de la frmula 1.1RxC.

    En modo astable genera una seal cuadrada peridica cuya frecuencia estdeterminada por 1.44/(R1+2R2)C y el periodo por 0.7(R1+2R2)C.

    Si desea saber ms acerca de estos temas, puede consultar las siguientes

    pginas:

    http://home.cogeco.ca/~rpaisley4/LM555.html

    Aqu hallar informacin sobre el timer LM555.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    69/169

    CIRCUITOS DIGITALES I I 69

    CIBERTEC CARRERAS PROFESIONALES

    CIRCUITOS DE TEMPORIZACIN Y CUENTA

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea circuitos de temporizacin y

    generadores de reloj aplicando el Timer LM555. Adems, disea sistemas de

    cuenta aplicando contadores binarios (7493), contadores BCD (7490) y

    contadores ascendentes descendentes programables (74193).

    TEMARIO

    Circuitos de cuenta

    Diseo de los circuitos de cuenta

    Contador binario de 4 bits

    Contador BCD

    Contador ascendente descendente

    ACTIVIDADES PROPUESTAS

    Los alumnos, mediante software de simulacin, implementan circuitos de

    cuenta binaria y BCD.

    Los alumnos implementan, en protoboards, dichos circuitos.

    UNIDAD DE

    APRENDIZAJE

    3

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    70/169

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    71/169

    CIRCUITOS DIGITALES I I 71

    CIBERTEC CARRERAS PROFESIONALES

    El nmero mximo de esta cuenta es 7 y requiere tres bits para representarse (111);

    por lo tanto, el diseo de esta cuenta requiere tres flip flops tipo J-K. Cmo se deben

    interconectar las entradas y salidas de estos tres flip flops? Lo sabremos a

    continuacin:

    3.2.1.1 TABLA DE TRANSICIONES DE LAS SALIDAS

    En esta tabla, empleando las salidas de los flip flops, debemos reflejar cada

    combinacin de la cuenta presente con su combinacin a futuro. Es decir, el valor de

    la cuenta que le sigue inmediatamente. Asi, para el valor 0 (000) su estado siguiente

    es 1 (001), para el valor 1 (001) su estado siguiente es 2 (010), para el valor 4 (100) su

    estado siguiente es 5 (101) y para el valor 7 (111) su estado siguiente es 0 (000), ya

    que la cuenta debe reiniciarse.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    72/169

    72

    CARRERAS PROFESIONALES CIBERTEC

    3.2.1.2 TABLA DE ESTADO DE LAS ENTRADAS

    Una vez lista la tabla anterior, ampliaremos esta misma para establecer los estados de

    las entradas J-K de los flip flops. Cada salida Q de los flip flops es analizada

    independientemente para establecer los estados correspondientes a sus respectivas

    entradas J-K. El anlisis implica determinar cada combinacin sobre J-K para cada

    estado presente y futuro de la salida Q. Como son 8 estados presentes (cuenta de 0 a

    7) existen 8 estados futuros. Por lo tanto, se obtienen 8 combinaciones de estados

    para J-K. Para aplicar correctamente la combinacin respectiva sobre J-K, utilizaremos

    la tabla de excitacin de los flip flops J-K. A continuacin, se muestra:

    En este caso, Qn es el estado actual de la salida y Qn+1 es el estado futuro.

    Supongamos que el estado actual de Q es 1 y su estado futuro tambin debe ser 1.

    Eso significara que a las entradas J-K le corresponde la combinacin X0 (observe la

    tabla). X para J y 0 para K. El valor X significa que la variable podra tomar un 0 o un 1.

    Esto lo decidimos nosotros a la hora de aplicar Karnaugh, segn nuestra conveniencia

    (reducir mejor) decidimos el estado de cada X en el mapa de Karnaugh.

    A continuacin, se muestra esta tabla completa:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    73/169

    CIRCUITOS DIGITALES I I 73

    CIBERTEC CARRERAS PROFESIONALES

    3.2.1.3 APLICAR KARNAUGH

    A cada entrada J-K debemos de aplicar Karnaugh para determinar su funcin lgica.

    Empecemos con J2 y K2. Recuerde que cada X se toma (0 o 1) como mejor

    convenga.

    Presente FF2

    Q2 Q1 Q0 J2 K2

    0 0 0 0 X

    0 0 1 0 X0 1 0 0 X

    0 1 1 1 X

    1 0 0 X 0

    1 0 1 X 0

    1 1 0 X 0

    1 1 1 x 1

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    74/169

    74

    CARRERAS PROFESIONALES CIBERTEC

    Para J2: El valor de X en el casillero 7 conviene que sea 1 para asociarlo con el 1 del

    casillero 3 y las dems X se consideran ceros.

    Por lo tanto, J2 = Q1.Q0

    Para K2: El valor de X en el casillero 3 conviene que sea 1 para asociarlo con el 1 del

    casillero 7 y las dems X se consideran ceros.

    Por lo tanto, K2 = Q1.Q0

    Repitamos el proceso para J1 y K1

    Presente FF1

    Q2 Q1 Q0 J1 K1

    0 0 0 0 X

    0 0 1 1 X

    0 1 0 X 0

    0 1 1 X 11 0 0 0 X

    1 0 1 1 X

    1 1 0 X 0

    1 1 1 x 1

    Para J1: El valor de las X en los casilleros 3 y 7 convienen que sean 1 para asociarlos

    con los 1 de los casilleros 1 y 5. Las dems X sern ceros.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    75/169

    CIRCUITOS DIGITALES I I 75

    CIBERTEC CARRERAS PROFESIONALES

    Por lo tanto, J1 = Q0

    Para K1: El valor de las X en los casilleros 1 y 5 convienen que sean 1 para asociarlos

    con los 1 de los casilleros 3 y 7. Las dems X sern ceros.

    Por lo tanto, K1 = Q0

    Terminemos el proceso con J0 y K0

    Presente FF0

    Q2 Q1 Q0 J0 K0

    0 0 0 1 X

    0 0 1 X 1

    0 1 0 1 X

    0 1 1 X 1

    1 0 0 1 X

    1 0 1 X 1

    1 1 0 1 X1 1 1 x 1

    Para este caso particular de J0 y K0, conviene que todas las X sean 1; por lo tanto, la

    funcin de J0 y K0 siempre es 1, sin importar la secuencia de la cuenta.

    J0 = K0 = 1

    Es decir, estas dos entradas se conectan a +5V.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    76/169

    76

    CARRERAS PROFESIONALES CIBERTEC

    3.2.1.4 GRAFICA DEL CIRCUITO

    El Clock (clk) aplicado a los flip flops determinar la rapidez con la que se desarrolle la

    cuenta. A mayor frecuencia, ms rpido la cuenta. En esta entrada de reloj, se puede

    colocar un Timer 555 en configuracin astable con una frecuencia de 1Hz. As, cada

    valor de la cuenta se mostrara por 1 segundo.

    3.2.2 CONTADOR BINARIO DE 4 BITS

    Existen contadores binarios especializados como el 7493. Este cuenta

    ascendentemente de 0000 a 1111 y repite la cuenta indefinidamente.

    3.2.2.1 DISPOSICIN DE CONTACTOS

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    77/169

    CIRCUITOS DIGITALES I I 77

    CIBERTEC CARRERAS PROFESIONALES

    -QD QC QB QA: estas son las salidas por donde se muestra la cuenta.

    -CKA: Esta es la entrada de reloj para el primer mdulo interno de 1 bit. Aqu debemos

    aplicar nuestra entrada de reloj.

    -CKB: Esta es la entrada de reloj para el segundo mdulo interno de 3 bits. Esta se

    debe conectar con la salida QA.

    -R0(1) R0(2)Son las entradas de reinicio, cada vez que estas dos entradas se fijan a 1

    el contador reinicia a 0000.

    -VCCes el terminal de polarizacin positiva, hay que conectarla a +5V.

    -GNDes el terminal GND.

    -NCterminales sin aplicacin, dejarlos al aire.

    3.2.2.2 CONTADOR DE 0 A 15 (0000 a 1111)

    El siguiente esquema muestra la conexin de este contador de 0 a 15:

    Por cada pulso recibido en la entrada de reloj CKA, las salidas (QD, QC, QB y QA)

    aumentan en 1 su valor. Cada vez que las entradas R0 reciban un 1 la cuenta

    reiniciar a 0. Estas entradas normalmente se adaptan a un pulsador, desde el cual en

    cualquier momento se puede reiniciar la cuenta.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    78/169

    78

    CARRERAS PROFESIONALES CIBERTEC

    3.2.2.3 CONTADOR DE 0 A 11 (0000 a 1011)

    Si caprichosamente quisiramos que el contador slo llegue a 11, tendramos que

    truncar su cuenta; para ello; sera necesario emplear puertas lgicas que apliquen un 1

    sobre las entradas R0 cuando el valor siguiente de la cuenta aparece. En este caso, el

    valor siguiente de la cuenta es 12. Este debe utilizarse para enviar un 1 sobre las

    entradas de reinicio, logrando que la cuenta regrese a 0, tal como se muestra en el

    siguiente circuito:

    El valor 12 justamente es cuando QD y QC son unos. La puerta and genera un uno.

    En ese nico caso, causa el reinicio de la cuenta.

    3.2.2.4 CONTADOR DE 0 A 255 (00000000 a 11111111)

    Para esto, se requieren dos contadores 7493 conectados en cascada, tal como se

    muestra en el siguiente circuito:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    79/169

    CIRCUITOS DIGITALES I I 79

    CIBERTEC CARRERAS PROFESIONALES

    3.2.3 CONTADOR BCD

    El contador BCD es de 4 bits, pero su cuenta slo la realiza de 0 a 9. El circuito

    integrado especializado es el 7490. Es muy parecido al 7493, pero sus aplicaciones

    estn destinadas para las cuentas decimales (nuestro sistema de numeracin).

    3.2.3.1 DISPOSICIN DE CONTACTOS

    -QD QC QB QA: estas son las salidas por donde se muestra la cuenta.

    -CKA: Esta es la entrada de reloj para el primer mdulo interno de 1 bit. Aqu debemos

    aplicar nuestra entrada de reloj.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    80/169

    80

    CARRERAS PROFESIONALES CIBERTEC

    -CKB: Esta es la entrada de reloj para el segundo mdulo interno de 3 bits. Esta se

    debe conectar con la salida QA.

    -R0(1) R0(2)Son las entradas de reinicio. Cada vez que estas dos entradas se fijan a

    1 el contador reinicia a 0000.

    -R9(1) R0(2)Son las entradas de recuenta, cada vez que estas dos entradas se fijan a

    1 el contador reinicia a 1001 (9).

    -VCCes el terminal de polarizacin positiva, hay que conectarla a +5V.

    -GNDes el terminal GND.

    -NCterminales sin aplicacin, dejarlos al aire.

    3.2.3.2 CONTADOR DE 0 A 9 (0000 a 1001)

    El siguiente esquema muestra la conexin de este contador de 0 a 9:

    3.2.3.3 CONTADOR DE 00 A 99 (0000 0000 a 1001 1001)

    Para esto, se requieren dos contadores 7490 conectados en cascada, tal como se

    muestra en el siguiente circuito:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    81/169

    CIRCUITOS DIGITALES I I 81

    CIBERTEC CARRERAS PROFESIONALES

    3.2.4 CONTADOR ASCENDENTE DESCENDENTE

    Existen contadores especializados como el 74193 que pueden realizar una cuentaascendente, pero tambin descendente. En este caso, el contador realiza su cuenta

    ascendente de 0 a 15 y descendentemente de 15 a 0.

    3.2.4.1 DISPOSICIN DE CONTACTOS

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    82/169

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    83/169

    CIRCUITOS DIGITALES I I 83

    CIBERTEC CARRERAS PROFESIONALES

    del siguiente contador, mientras que TCD a DN. De ese modo, se puede seguir

    interconectando los contadores.

    BORRADO DE CUENTA

    La entrada MR permite resetear las salidas a 0000. Para esto hay que inyectar un

    pulso instantneo en estado alto (1) sobre este pin. Si esta lnea se mantiene en 1, el

    contador no funcionar y permanecer con sus bits de cuenta en 0000. Para que la

    cuenta se realice normalmente, la entrada MR debe permanecer en estado 0.

    CARGA PROGRAMABLE

    Las entradas PL y D3, D2, D1 y D0permiten en cualquier momento cargar un nmero

    binario prefijado, para que el contador contine su cuenta a partir de este nmero. El

    nmero prefijado se configura a travs de las 4 lneas D3, D2, D1 y D0 (el nmero que

    se requiera) y la carga de este se produce cuando se aplica un pulso instantneo en

    estado bajo (0) sobre la entrada PL. Si PL se mantiene en estado 0, el contador no

    funcionar y mantendr sus bits de cuenta con el nmero prefijado. Para que la cuenta

    se realice normalmente, la entrada PL debe permanecer en estado 1.

    3.2.4.3 CUENTA ASCENDENTE BINARIA (0000 a 11111)

    A continuacin, se muestran las conexiones necesarias para realizar con el contador

    74193 una cuenta ascendente de 4 bits:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    84/169

    84

    CARRERAS PROFESIONALES CIBERTEC

    3.2.4.4 CUENTA DESCENDENTE BINARIA (1111 a 0000)

    A continuacin, se muestran las conexiones necesarias para realizar con el contador

    74193 una cuenta descendente de 4 bits:

    3.2.4.5 CUENTA ASCENDENTE DESCENDENTE BCD (0000 0000 a 1001 1001)

    A continuacin, se muestran las conexiones necesarias para realizar con el contador

    74192 una cuenta de este tipo:

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    85/169

    CIRCUITOS DIGITALES I I 85

    CIBERTEC CARRERAS PROFESIONALES

    Por supuesto que ambas entradas de reloj (UP DN) no pueden recibir impulsos

    de cuenta al mismo instante. En efecto, cuando una de estas est operando, la

    otra debe desactivarse.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    86/169

    86

    CARRERAS PROFESIONALES CIBERTEC

    Res!e"

    Los contadores son sistemas de cuenta que se disean con Flip flops. Su funcin

    es contabilizar los impulsos digitales que estos reciben por su entrada de reloj;

    asimismo, pueden aumentar o disminuir el valor de su cuenta, depende de su

    diseo.

    Existen contadores especializados como el 7493 contador binario ascendente que

    cuenta de 0 a 15, el 7490 contador ascendente BCD que cuenta de 0 a 9, el 74193contador ascendente/descendente programable que cuenta de 0 a 15 y de 15 a 0,

    y el 74192 que tambin es un contador ascendente/descendente pero BCD, es

    decir, cuenta de 0 a 9 y de 9 a 0.

    Para ampliar las capacidades de cuenta se deben conectar los contadores en

    cascada y si se desea truncar la cuanta en algn nmero, debemos utilizar el valor

    siguiente nmero de la cuenta para generar, a travs de puertas lgicas, un

    impulso (1) que se aplique sobre la lnea de reinicio del contador.

    Si desea saber ms acerca de estos temas, puede consultar las siguientes

    pginas:

    http://www.ace.ual.es/~vruiz/docencia/laboratorio_estructura/practicas/html/node53.

    html

    Aqu hallar informacin sobre cmo se disea un contador binario sncrono

    ascendente.

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    87/169

    CIRCUITOS DIGITALES I I 87

    CIBERTEC CARRERAS PROFESIONALES

    SISTEMAS DE ACCESO A UN CANAL

    LOGRO DE LA UNIDAD DE APRENDIZAJE

    Al trmino de la unidad, el alumno disea sistemas de acceso a un canal

    aplicando multiplexores y demultiplexores.

    TEMARIO Multiplexores

    Demultiplexores

    ACTIVIDADES PROPUESTAS

    Los alumnos implementan mediante software de simulacin sistemas de

    multiplexaje y demultiplexaje.

    Los alumnos implementan dichos circuitos en protoboards.

    UNIDAD DE

    APRENDIZAJE

    4

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    88/169

    88

    CARRERAS PROFESIONALES CIBERTEC

    4.1 MULTIPLEXORES

    Un multiplexor es un dispositivo que recibe mltiples entradas y las rene para

    transmitirlas por una nica salida. Obviamente, no es posible transmitir a todas las

    entradas a la vez por este nico canal de salida. Una sola entrada a la vez tendr

    acceso al canal y esto se logra a travs de lneas de control o entradas de seleccin.

    Cada combinacin binaria sobre estas lneas elige a una de las entradas de datos que

    se transmitir por el canal. La siguiente tabla muestra la relacin entre entradas de

    datos y la cantidad de lneas de seleccin necesarias:

    Bits de entrada Bits de seleccin

    2 1

    4 28 3

    16 4

    El multiplexor es como un switch electrnico que conmuta varias entradas para un solo

    canal de salida de acuerdo con las lneas de seleccin.

    4.1.1 MULTIPLEXOR DE 4 A 1

    El siguiente multiplexor es capaz de multiplexar 4 entradas de datos (D3, D2 D1 y D0),

    para lo cual necesita 2 lneas de seleccin (S1 y S0).

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    89/169

  • 8/10/2019 Manual Del Curso DE ELECTRONICA

    90/169

    90

    CARRERAS PROFESIONALES CIBERTEC

    4.1.2 MULTIPLEXOR DE 8 A 1

    El siguiente multiplexor es capaz de multiplexar 8 entradas de datos (D7, D6, D5, D4,

    D3, D2 D1 y D0), para lo cual necesita 3 lneas de seleccin (S2, S1 y S0).

    Cada combinacin sobre las lneas de seleccin permite que slo una de las entradas

    (D7, D6, D5, D4, D3, D2 D1 y D0) se traslade por el canal de salida Y.

    4.1.2.1 TABLA DE VERDAD

    La siguiente tabla de verdad establece la relacin entre las entradas de datos,