aplicaciÓn de la tele instrumentaciÓn para el …

57
IEL2-II-04-01 APLICACIÓN DE LA TELE INSTRUMENTACIÓN PARA EL DESARROLLO DE LABORATORIOS A DISTANCIA Y MANEJO DE INSTRUMENTOS: MÓDULO DE CONFIGURACIÓN DE PARÁMETROS E INTERFAZ PARA EL USUARIO. LUIS GABRIEL ARDILA CASTRO UNIVERSIDAD DE LOS ANDES Facultad de Ingeniería Departamento de Ingeniería Eléctrica y Electrónica Bogotá, D.C. 2004

Upload: others

Post on 16-Nov-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

IEL2-II-04-01

APLICACIÓN DE LA TELE INSTRUMENTACIÓN PARA EL DESARROLLO DE

LABORATORIOS A DISTANCIA Y MANEJO DE INSTRUMENTOS: MÓDULO

DE CONFIGURACIÓN DE PARÁMETROS E INTERFAZ PARA EL USUARIO.

LUIS GABRIEL ARDILA CASTRO

UNIVERSIDAD DE LOS ANDES

Facultad de Ingeniería Departamento de Ingeniería Eléctrica y Electrónica

Bogotá, D.C. 2004

IEL2-II-04-01

APLICACIÓN DE LA TELE INSTRUMENTACIÓN PARA EL DESARROLLO DE

LABORATORIOS A DISTANCIA Y MANEJO DE INSTRUMENTOS: MÓDULO

DE CONFIGURACIÓN DE PARÁMETROS E INTERFAZ PARA EL USUARIO.

LUIS GABRIEL ARDILA CASTRO

Trabajo de Grado para optar al título de Ingeniero Electrónico

Director: Mauricio Guerrero Hurtado Ph.D.

UNIVERSIDAD DE LOS ANDES

Facultad de Ingeniería Departamento de Ingeniería Eléctrica y Electrónica

Bogotá, D.C. 2004

IEL2-II-04-01

3

A todas las personas que me brindaron amor y apoyo durante todo este

tiempo, especialmente a la memoria de Jose Maria Ardila Prada (Q.E.P.D.), a

mi mamá Carmen Elisa Castro de Ardila, a mis hermanos (Carlos, Gonzalo,

Jose, Alirio), a Jennifer que con su amor y cariño me apoyó en la realización

de este proyecto y a todos mis amigos y amigas.

IEL2-II-04-01

4

AGRADECIMIENTOS

Agradezco muy especialmente al profesor Mauricio Guerrero por toda su

colaboración y apoyo en la realización de este trabajo. También agradezco la

colaboración prestada por los integrantes del CMUA (Centro de Microelectrónica

de la Universidad de los Andes).

IEL2-II-04-01

5

RESUMEN

En este proyecto se desarrollaron el módulo de configuración de parámetros en

las prácticas y la interfaz para el usuario del proyecto de laboratorio a distancia

desarrollado en la Universidad de los Andes.

En primera instancia se realizó un estudio de las posibles prácticas a implementar

en el laboratorio. Seguido a esto se realizó el diseño y la implementación de las

prácticas que se consideraron implementar.

Por último se realizaron pruebas de las prácticas implementadas junto con otros

módulos del laboratorio.

IEL2-II-04-01

6

Indice

1. Introducción y objetivos. .................................................................................... 10 1.1. Introducción. ............................................................................................... 10 1.2. Objetivos generales. ................................................................................... 11 1.3. Objetivos específicos.................................................................................. 11

2. Marco Teórico. .................................................................................................. 12 2.1. Antecedentes.............................................................................................. 12 2.2. Estructura del laboratorio............................................................................ 12 2.3. Protocolo GPIB. .......................................................................................... 14

2.3.1. Introducción.......................................................................................... 14 2.3.2. Características más relevantes del protocolo....................................... 14 2.3.3. Talkers, listeners y controladores......................................................... 15 2.3.4. Descripción de las líneas eléctricas del protocolo. ............................... 15 2.3.5. Aspectos básicos de la comunicación GPIB. ....................................... 17 2.3.6. Handshake en el protocolo GPIB (IEEE 488.1).................................... 19 2.3.8. Protocolo de direccionamiento GPIB.................................................... 20 2.3.9. Topologías de interconexión de equipos.............................................. 21

2.4. Protocolo TCP/IP ........................................................................................ 22 2.4.1. Introducción.......................................................................................... 22 2.4.2. Protocolos por capas............................................................................ 23 2.4.4. Puentes, enrutadores y gateways. ....................................................... 24

3. Diseño de las prácticas. .................................................................................... 26 3.1. Diseño de la práctica “Leyes básicas eléctricas”. ....................................... 26

3.1.1. Diseño del hardware (tarjeta) de la práctica......................................... 26 3.1.2. Interfaz al usuario de la práctica........................................................... 30

3.2. Diseño de la práctica “Módulo PID” ............................................................ 32 3.3. Diseño de la práctica “Caracterización de una antena patch”..................... 35

3.3.1. Diseño de la práctica y disposición de la antena del equipo. ............... 35 3.3.2. Interfaz al usuario para la práctica. ...................................................... 37

4. Pruebas y resultados......................................................................................... 39 4.1. Tarjeta para la práctica “Leyes básicas eléctricas” ..................................... 39 4.2. Tarjeta para la práctica “módulo PID”. ........................................................ 41 4.3. Interfaz al usuario. ...................................................................................... 41

5. Conclusiones y perspectivas. ............................................................................ 42 Anexo 1 – Descripción VHDL de la interfaz GPIB. ................................................ 43 Anexo 2 – Algoritmo para la unidad de control de las prácticas. ........................... 48 Anexo 3 – Esquemático del circuito de la práctica “Leyes básicas eléctricas”. ..... 49

a. Conector de la tarjeta..................................................................................... 49 b. Interfaz GPIB ................................................................................................. 50 c. Unidad de control de la práctica..................................................................... 51 d. Puente de resistencias digitales. ................................................................... 52 e. Interfaz de programación JTAG y programador del microcontrolador PIC 16F877............................................................................................................... 53 f. Descripción de las conexiones del CPLD. ...................................................... 54 g. Conexiones del PIC 16F877.......................................................................... 55

IEL2-II-04-01

7

Anexo 4 – Circuito impreso de la tarjeta para la práctica “Leyes básicas eléctricas”............................................................................................................................... 56

a. Capa Top ....................................................................................................... 56 b. Capa Bottom.................................................................................................. 56

Anexo 5 – Esquemático del controlador PID diseñado para la práctica “Módulo PID”. ...................................................................................................................... 57

IEL2-II-04-01

8

LISTADO DE FIGURAS

Figura 1 – Diagrama de bloques del laboratorio…………………………………… 4 Figura 2. – Talkers, listeners y controladores en un bus GPIB…………………… 6 Figura 3 - (GPIB Tutorial – National Instruments)………………………………….. 6 Figura 4 – Comunicación básica GPIB (GPIB Programming Tutorial – Free University, Amsterdan) ………………………………………………………………... 8 Figura 5 (GPIB Tutorial – National Instruments)……………………………………. 10 Figura 6 (GPIB Tutorial – National Instruments)……………………………………. 11 Figura 7- Configuraciones físicas de un bus GPIB (GPIB Tutorial – National Instruments)…………………………………………………………………………… 12 Figura 8 (TCP/IP Tutorial – W3 Schools)……………………………………………. 13 Figura 9 – Modelo en capas TCP/IP (TCP/IP Tutorial – W3 Schools)…………… 14 Figura 10 – Diagrama de “caja negra” de la práctica………………………………. 17 Figura 11 – Diagrama de bloques de la práctica…………………………………….18 Figura 12 – Puente de resistencias……………………………………………………20 Figura 13 – Tarjeta prototipo de las práctica de resistencias……………………… 21 Figura 14 – Caja negra interfaz al usuario………………………………………… 22 Figura 15 – Interfaz al cliente de la práctica “Leyes básicas eléctricas”……… 22 Figura 16 – Planta a controlar………………………………………………………. 24 Figura 17 – Sistema de control…………………………………………………. …. 24 Figura 18 – Respuesta en el tiempo del sistema…………………………………… 25 Figura 19 – Diagrama de bloques del módulo PID………………………………... 25 Figura 20 – Diagrama de bloques de la práctica………………………………….. 26 Figura 21 – Disposición de la antena en el analizador de espectros…………… 27 Figura 22 – Interfaz para la práctica “Caracterización de una Antena de Parche (Patch) para 2.4 GHz”………………………………………………………………… 29

IEL2-II-04-01

9

LISTADO DE ECUACIONES

Ecuación 1 – Planta a controlar …………………………………………………. 33

IEL2-II-04-01

10

1. Introducción y objetivos.

1.1. Introducción.

Internet se ha convertido en recurso importante en la enseñanza ya que permite

aplicar nuevas metodologías de enseñanza y explorar nuevos terrenos en cuanto

a estrategias pedagógicas se refiere. El desarrollo de plataformas para la

educación basadas en Internet permite una mayor flexibilidad, una mayor

retroalimentación y un mejor uso de los recursos existentes en las instituciones

educativas. Debido al crecimiento del número de estudiantes en las facultades de

Ingeniería cada vez más difícil mantener una oferta de instrumentos de laboratorio

para realizar las prácticas asignadas en cada curso. Es claro que mantener un

laboratorio con los equipos requeridos es costoso. Por lo anterior es preciso

identificar estrategias que permitan hacer un mejor uso de los equipos existentes y

poder así desarrollar mejor el proceso de aprendizaje.

El manejo remoto de instrumentación permitiría hacer un uso eficiente de estos

recursos de laboratorio. El estudiante cambiaría los parámetros de una práctica

determinada, y mediante Internet se enviarían estos parámetros a los instrumentos

y estos devolvieran los datos provenientes del módulo de la práctica. Esta

configuración permitiría realizar colas de espera y aprovechar la flexibilidad de una

interfaz basada en software. Para poder tener este grado de flexibilidad se

necesita que el usuario pueda cambiar los parámetros de un circuito determinado

remotamente, y así poder hacer las actividades que le han sido asignadas en su

trabajo académico. Otro problema que se presenta es el desarrollo de una interfaz

amigable al usuario vía World Wide Web que a su vez sea flexible para el tipo de

prácticas que se esperan implementar.

IEL2-II-04-01

11

1.2. Objetivos generales.

Consolidar un sistema de comunicación y control a distancia de instrumentación

de laboratorio para sentar las bases de un laboratorio a distancia en la Universidad

de los Andes.

Desarrollar una integración entre las prácticas, instrumentos y software para el

laboratorio sea factible de utilizar en prácticas de laboratorio de las asignaturas

ofrecidas por el Departamento de Ingeniería Eléctrica e Ingeniería Electrónica.

1.3. Objetivos específicos

Conocer y utilizar el protocolo GPIB para su utilización en la configuración de

parámetros y sus características para ser utilizadas en el proyecto.

Identificar y desarrollar estrategias que permitan tener flexibilidad en la

modificación de los parámetros en cada una las prácticas implementadas e

implementar un módulo de prácticas que permita la configuración de sus

parámetros de forma remota para ser integrado a la matriz de interconexión del

laboratorio a distancia.

Desarrollar una interfaz de usuario que permita la comunicación vía Internet con el

servidor y con las prácticas del laboratorio.

Estudiar la factibilidad de implementación de prácticas con parámetros ajustables

por el usuario e identificar que tipo de implementación es realizable con los

recursos disponibles para el proyecto.

Implementar con los recursos disponibles un módulo de configuración de

parámetros para las prácticas.

IEL2-II-04-01

12

2. Marco Teórico.

En este capítulo se revisará como primera medida cual son los criterios al diseñar

e implementar un sistema de tele-instrumentación que apoye el proceso educativo

en la Universidad de los Andes. Luego se revisan los trabajos realizados sobre

tele-instrumentación en el ámbito académico de la Universidad de los Andes. Por

último se hace una breve reseña de las características de los protocolos GPIB y

TCP/IP.

2.1. Antecedentes.

El desarrollo del laboratorio a distancia tiene como precedente un proyecto

especial sobre la factibilidad de implementación del laboratorio. En este proyecto

especial se llegó a la conclusión que la Universidad de los Andes poseía los

recursos necesarios a nivel de hardware y software para desarrollar la primera

fase de un laboratorio a distancia. En este proyecto se hizo un inventario de los

equipos y opciones de comunicación para tele-instrumentación disponibles,

haciendo especial énfasis en el protocolo de GPIB de comunicación entre

instrumentos de medida y en el protocolo TCP/IP. También se hizo una revisión

de otros proyectos similares que han arrojado buenos resultados en cuanto su

aplicación a la educación se refiere. En el proyecto se llegó a la conclusión que

estos dos protocolos eran los más apropiados para una aplicación en tele-

instrumentación de esta envergadura. También existe un proyecto de grado que

desarrolló conjuntamente otros módulos del mismo laboratorio.

2.2. Estructura del laboratorio.

Retomando el trabajo realizado el proyecto especial, se comenzó a dimensionar el

sistema y cada uno de los módulos a desarrollar. En la figura 1 se puede observar

IEL2-II-04-01

13

el diagrama de bloques del laboratorio. El sistema dimensionado está compuesto

por los siguientes módulos:

- Módulo de interfaz al usuario: Este módulo software se desarrolló como un

interfaz para que un usuario, a través de Internet, pueda conectarse y acceder

a los servicios del laboratorio.

- Módulo de prácticas y configuración de parámetros: Este módulo hardware

contiene cada uno de los circuitos que son utilizados en el laboratorio y a

través del bus GPIB se pueden modificar parámetros como resistencias y

switcheo de componentes.

- Matriz de interconexión: Esta matriz permite interconectar los instrumentos a

los diferentes puntos de medición de las prácticas.

- Módulo servidor: Este módulo se encargará de gestionar la conexión de los

clientes al sistema y manejar la interconexión y la configuración de la matriz de

interconexión y las prácticas.

- Instrumentos de medida: Son instrumentos que poseen la interfaz GPIB, que

toman medidas de las prácticas y las envían a través del bus GPIB.

Figura 1 – Diagrama de bloques del laboratorio.

IEL2-II-04-01

14

2.3. Protocolo GPIB.

Para comenzar se hará una breve introducción histórica al protocolo. Enseguida

se describirán las características más relevantes del protocolo y los términos

básicos especificados en el protocolo. Por último, se estudiará el handshake y

otros aspectos consignados en las normas.

2.3.1. Introducción.

En 1965 la compañía Hewlett-Packard diseñó el protocolo HP-IB (Hewlett-Packard

Interface Bus) con el fin de conectar su línea de instrumentos programables a sus

equipos de cómputo. El protocolo fue aceptado rápidamente gracias a sus altas

tasas de transferencia (1Mbyte/s nominal). Luego este protocolo fue aceptado

como el estándar GPIB (General Propose Interface bus) IEEE 488-1975, y se

convirtió en norma ANSI/IEEE 488.1 en el año 1987. Esta última fortaleció el

estándar original definiendo detalladamente la forma en que controladores e

instrumentos se comunican.

2.3.2. Características más relevantes del protocolo.

- Conexión de hasta 15 dispositivos en solo computador (controlador), este

controlador es el encargado de manejar el tráfico en el bus.

- Tasa de transferencia: 1 Mbyte por segundo (nominal).

- Longitudes de los cables: 20 metros del controlador al inicio del bus y 2

metros entre instrumentos.

- Modo de transferencia de datos: 8 bits en paralelo.

IEL2-II-04-01

15

2.3.3. Talkers, listeners y controladores.

Figura 2. – Talkers, listeners y controladores en un bus GPIB.

Los dispositivos GPIB pueden operar como talkers, listeners o como

controladores. En modo de operación talker, el dispositivo envía datos a uno más

listeners los cuales reciben la información enviada. En modo controller el

dispositivo maneja el flujo de información en el bus GPIB, enviando comandos a

todos los dispositivos. El rol de un equipo operando en modo controller es

comparable con la función de una CPU de computador (Figura 2).

2.3.4. Descripción de las líneas eléctricas del protocolo.

Figura 3 - (GPIB Tutorial – National Instruments)

IEL2-II-04-01

16

- Líneas de datos: Las líneas de datos DIO1 a DIO8 se encargan de

transmitir comandos y datos. La línea State of Attention (ATN) determina si

se están enviando datos o comandos. Todos los comandos y datos utilizan

el formato del estándar ASCII o el ISO (Figura 3).

- Líneas de Handshake: Tres líneas controlan asincrónicamente la

transferencia. Estas líneas garantizan que los datos y los mensajes sean

transmitidos sin errores (Figura 3).

- Línea NRFD (Not Ready for Data): Esta línea indica si un dispositivo esta

listo o no para recibir un mensaje. La líne a es manejada por todos los

dispositivos cuando reciben comandos (Figura 3).

- Línea NDAC (Not data accepted): Indicia cuando un dispositivo acepta un

mensaje. Esta línea es manejada por todos los dispositivos cuando recibe

comandos y por los listeners cuando reciben mensajes de datos (Figura 3).

- Línea Data Valid (Data Valid): Indica que las señales en las líneas de

datos son válidas pueden ser utilizadas sin problemas por los dispositivos

(Figura 3).

- ATN (attention): Cuando se envían comandos su valor es el controlador fija

su valor en 1. Cuando un talker envía mensajes de datos se vuelve 0

(Figura 3).

- IFC(Interface clear): Línea para inicializar el bus (Figura 3).

- REN (Remote enable): El controlador del sistema maneja esta línea que se

utiliza para poner dispositivos en modo local o remoto (Figura 3).

IEL2-II-04-01

17

- SRQ (service request): Mediante esta línea un dispositivo puede solicitar

el servicio del controlador (Figura 3).

- EOI: Indica el final de una cadena de mensaje y ayuda a identificar su

respuesta a la transmisión en paralelo (Figura 3).

2.3.5. Aspectos básicos de la comunicación GPIB.

Figura 4 – Comunicación básica GPIB (GPIB Programming Tutorial – Free

University, Amsterdan)

Los controladores GPIB reciben información de otros instrumentos. Un controlador

es capaz de distinguir entre eventos, comandos, solicitudes y unidades de datos.

Los eventos, solicitudes y parámetros son enviados desde el controlador hacia un

instrumento. Los datos de repuesta y los eventos son envidos desde el

instrumento hasta el controlador. En la figura 4 se puede observar como es flujo

de los diferentes tipos de información en un bus GPIB. A continuación se

ilustraran las características de los diferentes tipos de información:

- Eventos: El bus GPIB posee unas líneas de control especiales que

permiten una transferencia rápida y sencilla de información. A la

información transmitida por estas líneas se le llama eventos. También estos

eventos se le llaman mensajes de la interfase ya que estos son procesados

por el hardware de la interfase. Un ejemplo es el IFC (Interface clear), el

cual obliga a un instrumento a escuchar al controlador del sistema.

IEL2-II-04-01

18

- Comandos: Son bytes o cadenas de bytes que hacen que el instrumento

realice una acción (tomar una medida, etc.).

- Solicitudes: Son bytes o cadenas de bytes que hacen que el instrumento

genere una respuesta.

- Unidades de datos: Las unidades de datos son usadas para transmitir

información. Los datos pueden ser parámetros que modifican a los

comandos. Los datos también pueden ser respuestas de un instrumento.

La información en el bus GPIB es enviada a través de bloques de varios

comandos, solicitudes o cadenas de caracteres combinadas en una sola línea de

texto. Un instrumento comenzará a ejecutar comandos y solicitudes si una línea

completa de texto ha sido recibida. Para saber cuando una línea completa es

transmitida, el evento EOI (End Or identify) es generado simultáneamente al envió

del último byte de la línea de texto.

Los siguientes son los tipos de comandos que se utilizan en el protocolo GPIB:

• Comandos comunes: Son usados para mantener el sistema y controlar

estructura del registro de estado. Todos los comandos comunes están en el

anexo comandos comunes de GPIB.

• Comandos compuestos: Las funciones de un instrumento son controladas

mediante comandos compuestos. Los comandos compuestos están

formados por el valor hexadecimal de una instrucción más la suma de la

dirección del instrumento en hexadecimal. Todos los comandos

compuestos están en el anexo comandos compuestos de GPIB.

IEL2-II-04-01

19

Ejemplo: Se debe sumar en hexadecimal del comando MLA (Hex 20) y el

hexadecimal de la dirección del instrumento (ej. Hex 05) para obtener el el

comando compuesto:

MLA = (Hex 20) + Dirección del instrumento.= 25 (Hexadecimal).

2.3.6. Handshake en el protocolo GPIB (IEEE 488.1)

Figura 5 (GPIB Tutorial – National Instruments)

En la figura 5 se encuentra ilustrado el handshake del protocolo GPIB, el cual

se realiza en los siguientes pasos:

- El talker envía una señal ATN al listener.

- Cuando el listener está listo para recibir información activa NRFD.

- El listener recibe el primer byte de datos.

- El talker activa DAV para indicar que hay un byte de datos disponible.

- El listener desactiva NRFD.

- Cuando el listener está listo para recibir activa NRFD y se repite el ciclo.

IEL2-II-04-01

20

- En cada byte enviado existe un Settling time (T1) en el cual se envía la

información.

2.3.8. Protocolo de direccionamiento GPIB.

Mediante las 8 líneas de conexión se pueden enviar comandos, los comandos

utilizan 7 líneas de las 8 que posee el bus. En la figura 6 se puede observar la

distribución de un de los bits en un comando:

Figura 6 (GPIB Tutorial – National Instruments)

Los bits 0 a 4 indican la dirección primaria del instrumento. Si el bit 5 está en ‘1’ el

dispositivo debe escuchar. Si el bit 6 esta en ‘1’ el dispositivo de hablar. El bit

siempre es interpretado como ‘0’ y no cumple ninguna función.

Cada dispositivo GPIB posee una dirección única. Esta dirección posee dos

componentes llamadas PAD (Primary ADress) y SAD (Secondary address). La

dirección SAD es poco utilizada.

Ya que para la dirección primaria son utilizados 5 bits de pueden tener 32

direcciones diferentes. Sin embargo la dirección PAD 31 nunca es usada para

nombrar un dispositivo ya que esta dirección está reservada para mensajes de

comando especiales. El controlador a cargo (CIC) casi siempre se asigna la

dirección 0 por lo tanto los instrumentos solo pueden utilizar las direcciones de 1 a

30.

IEL2-II-04-01

21

2.3.9. Topologías de interconexión de equipos.

Los instrumentos GPIB pueden ser conectados de dos maneras al bus:

- Disposición lineal: La velocidad de comunicación de los instrumentos más

alejados en la cadena se puede disminuirse debido a la distancia, pero no

existen cuellos de botella.

- Disposición en estrella: Todos los instrumentos se encuentran a la misma

distancia, pero se pueden presentar cuellos de botella que disminuyan el

desempeño del controlador. Además pueden existir problemas eléctricos en

la conexión común de todos los instrumentos.

- Una combinación de las dos: Permite controlar la distancia máxima de los

últimos para así no disminuir la velocidad. En la figura 7 se muestran las

dos disposiciones principales.

Configuración lineal Configuración en estrella

Figura 7- Configuraciones físicas de un bus GPIB (GPIB Tutorial – National

Instruments)

IEL2-II-04-01

22

2.4. Protocolo TCP/IP

2.4.1. Introducción.

Este protocolo es llamado así por la unión de dos siglas: TCP("Transmission

Control Protocol") de IP("Internet Protocol"). El primer objetivo del TCP/IP fue

construir una interconexión de redes que proporcionase servicios de comunicación

universales. Cada red física tiene su propia interfaz de comunicaciones

dependiente de la tecnología que la implementa, en la forma de una interfaz de

programación que proporciona funciones básicas de comunicación. Las

comunicaciones entre servicios las proporciona el software que se ejecuta entre la

red física y la aplicación de usuario, y da a estas aplicaciones una interfaz común,

independiente de la estructura de la red física.

El segundo objetivo es interconectar distintas redes físicas para formar lo que al

usuario le parece una única y gran red. Tal conjunto de redes interconectadas el

llamado Internet. Para poder interconectar dos redes, se necesita un servidor

(enrutador) que esté conectado a ambas redes y que pueda retransmitir

información de una a la otra.

Figura 8 (TCP/IP Tutorial – W3 Schools)

IEL2-II-04-01

23

Las características básicas de un enrutador son:

• Desde el punto de vista de la red, es un servidor normal.

• Desde el punto de vista del usuario, es invisible. El usuario sólo ve una gran

red.

• Para identificar un servidor en la red a cada uno se le asigna una dirección

IP. Cuando un servidor tiene múltiples adaptadores de red, cada adaptador

tiene una dirección IP separada. La dirección IP consta de dos partes:

Dirección IP => (Dirección de red).(Dirección del servidor).

2.4.2. Protocolos por capas. TCP/IP está modelado en capas y este tipo de modelaje es representado como

una pila de protocolos. Los protocolos de Internet se modelan en cuatro capas que

se muestran en la figura 9.

Figura 9 – Modelo en capas TCP/IP (TCP/IP Tutorial – W3 Schools)

IEL2-II-04-01

24

• Capa Aplicación: Es un proceso que puede funcionar en el mismo servidor

o en otro. Como ejemplo se tienen aplicaciones como TELNET, FTP ("File

Transfer Protocol") y SMTP ("Simple Mail Transfer Protocol").

• Capa Transporte: Proporciona la transferencia de datos de entre los

extremos. Ejemplo son TCP(orientado a conexión) y UDP(no orientado a

conexión).

• Capa de red: Oculta a los niveles superiores la arquitectura de la red.

IP("Internet Protocol") es el protocolo más importante de esta capa.

• Capa de enlace: Constituye la interfaz con el hardware de red. Esta

interfaz puede proporcionar o no entrega fiable, y puede estar orientada a

flujo o a paquetes. Ejemplos son IEEE 802.2, X.25.

2.4.4. Puentes, enrutadores y gateways.

La formación de una red conectando múltiples redes se consigue por medio de los

enrutadores. Es importante distinguir entre un enrutador, un puente y un gateway.

Un puente interconecta segmentos de LAN a nivel de interfaz de red y es

transparente para IP. Es decir, cuando un servidor envía un información a otro

servidor en una red con el que se conecta a través de un puente, envía el

información al servidor y cruza el puente sin que el emisor se dé cuenta.

Un enrutador interconecta redes en el nivel de red y encamina paquetes entre

ellas. Debe entender la estructura de direccionamiento asociada con los

protocolos que soporta y tomar la decisión de si se han de enviar, y cómo se ha de

hacer, los paquetes. Un enrutador es visible para IP. Cuando un servidor envía

IEL2-II-04-01

25

información en una red conectada por un enrutador, envía primero información al

enrutador y luego la envía al servidor de destino. Un gateway interconecta redes a

niveles superiores. Puede soportar el mapeo de direcciones de una red a otra, así

como la transmisión de datos

IEL2-II-04-01

26

3. Diseño de las prácticas.

En el diseño de las prácticas se tuvo como criterios más importantes su aplicación

para la enseñanza, la flexibilidad de cada uno de los módulos de práctica y el

manejo de ciertos parámetros de diseño para hacerla compatible con el módulo de

interconexión. En este capítulo se describe el hardware diseñado e implementado

para las prácticas. También se describirá el proceso de diseño de la interfaz de

usuario de cada una de las prácticas que soporta el uso de del hardware descrito

en este capítulo.

3.1. Diseño de la práctica “Leyes básicas eléctricas”.

Mediante está práctica se pretende estudiar los conceptos básicos de la teoría de

circuitos. Esta consiste en un puente de resistencias digitales, con interruptores

que permiten o cortan el paso de corriente por cada ramal, que permite al

estudiante hacer comprobaciones de la teoría con circuitos resistivos básicos.

Para poder lograr lo anterior se debe contar con la posibilidad de variar los valores

de resistencias digitales y el estado de los interruptores pueda ser controlado a

través del bus GPIB.

3.1.1. Diseño del hardware (tarjeta) de la práctica.

Esta módulo del laboratorio tiene como entrada bus GPIB, por el cual se enviarán

los comandos que modificaran el valor de las resitencias y el estado de los

interruptores, y se tiene como salida puntos de medición que permiten medir

voltajes y corrientes del circuito. Además de estás entradas y salidas se cuenta

con una conjunto de señales bidireccionales que se encargan de programar e

inicializar la tarjeta. En la figura 10 se muestran las entradas y salidas de la

práctica.

IEL2-II-04-01

27

Figura 10 – Diagrama de “caja negra” de la práctica.

Se diseñó un módulo el cual recibe, por medio del bus GPIB, comandos básicos

sobre el estado de las resistencias e interruptores. También es posible acceder a

cuatro puntos de medición para tomar medidas de voltaje y de corriente en el

circuito. En la figura 11 se puede observar el diagrama de bloques de la tarjeta

desarrollada.

Figura 11 – Diagrama de bloques de la práctica.

Cada uno de los bloques desempeña la siguiente función:

- Pines de entrada y de salida del módulo: Los pines de entrada y de

salida de esta tarjeta están consignados en la tabla 1. Se componen de tres

tipos de pines: pines de las líneas de la interfaz GPIB, puntos de medición y

alimentación.

IEL2-II-04-01

28

- Módulo de interfaz GPIB: Interpreta los comandos que se reciben desde

este bus y envía los comandos interpretados en secuencias de dos bytes.

El envío de estas secuencias es sincronizado por dos señales DR (Dato

recibido) y LD (Hay dato). Ya que el bus GPIB opera a altas velocidades (1

MByte/S) se hace necesario utilizar una alternativa tecnológica veloz y de

alto desempeño preferiblemente basada en hardware. Por lo anterior se

escogió el CPLD de Xilinx XC9572, que se ajusta a las necesidades de

comunicación de este módulo. Basado en el handshake del protocolo GPIB

(figura 5), se programó el CPLD para interpretar este protocolo. En el

anexo 1 se puede observar la descripción VHDL que se programó en el

dispositivo.

- Unidad de control de la práctica: Recibe los comandos del módulo

interfaz GPIB y dependiendo del comando recibido, es interpretado como

inicialización, un cambio en el valor de alguna resistencia o el cambio en la

posición de un interruptor. Ya que el desempeño en esta unidad no era un

factor determinante, pero si lo es la facilidad de programación del

dispositivo, se implementó esta unidad basada en el microcontrolador de

Microchip 16F877. En el anexo 2 se encuentra consignado el

macroalgoritmo del código.

- Interfaz JTAG para la interfaz GPIB: Ya que el CPLD utilizado se

encuentra de forma permanente instalado en la tarjeta, se hizo necesario

utilizar una interfaz JTAG que permitiese realizar la programación del

circuito directamente en la tarjeta.

- Programador on-board de la unidad de control: El microcontrolador

instalado también requiere la inclusión de circuito on-board para su

programación.

IEL2-II-04-01

29

- Puente de resistencias digitales: El puente se compone de 6

resistencias digitales, 6 interruptores y una fuente. En la figura 12 se

muestra la distribución del puente de resistencias. El valor de cada una de

las resistencias puede ser variado independientemente de 1K a 10k y los

interruptores también pueden ser controlados de forma independiente. Para

la implementación de las resistencia se utilizaron dispositivos de Xicor

9XC103 que está acorde con los requerimientos de corriente de esta

práctica. Para los interruptores se utilizaron reles a 5 voltios, que son

conectados al microcontrolador mediante drivers transistorizados.

Figura 12 – Puente de resistencias.

En el anexo 3 se puede observar diagrama esquemático de la tarjeta

implementada. Esta fue implementada en sobre una tarjeta impresa doble faz con

tecnología de huecos pasantes. En la figura 13 se puede observar la tarjeta

prototipo terminada.

IEL2-II-04-01

30

Figura 13 – Tarjeta prototipo de las práctica de resistencias.

Para la comunicación entre el servidor y la práctica se utiliza el siguiente protocolo:

- Reset: Valores por defecto, un byte (FF).

- R-S: 1 para configurar resistencias.

0 para configurar switch.

- C10-C0: Valores de resistencia o switch.

3.1.2. Interfaz al usuario de la práctica.

Es necesario el desarrollo de interfaz al usuario basada en software que permita

el acceso remoto a las prácticas desarrolladas para el laboratorio. Esta interfaz

debe ser flexible y debe ejecutarse en diferentes plataformas para evitar

restricciones incomodas para el usuario. Para el desarrollo de esta interfaz se

utilizó el entorno de programa Java, que cumple los requisitos anteriormente

IEL2-II-04-01

31

mencionados. En la figura 14 se puede observar el diagrama de caja negra de la

interfaz de usuario.

Figura 14 – Caja negra interfaz al usuario.

En la figura 15 se muestra la interfase creada en Java para esta práctica. En este

applet se puede seleccionar diferentes valores de resistencia y cambiar le estado

de los interruptores. Al haber escogido la configuración que se quiere, el botón

“enviar”establece una conexión Telnet con el servidor y envía la información sobre

la configuración de la práctica. Cuando el servidor ha terminado de configurar la

práctica, envía al programa cliente una confirmación de éxito en la configuración y

envía los datos obtenidos en el nodo especificado.

Figura 15 – Interfaz al cliente de la práctica “Leyes básicas eléctricas”.

IEL2-II-04-01

32

3.1.2.1. Protocolo de comunicación entre el servidor y el cliente:

- El servidor actualiza parámetros del cliente.

a. ¿Qué prácticas están conectadas?

b. Actualizar interfase de nuevas prácticas.

- El cliente envía la configuración.

c. Parámetros de prácticas (Valores de resistencia y switches).

d. ¿Cuáles instrumentos, de qué forma están configurados y a qué

nodo de la práctica deben ir conectados?

e. ¿Configuración de fuentes, de qué forma están configuradas y a qué

nodo de la práctica deben ir conectadas?

- Leer datos(Leer los datos de los instrumentos configurados).

- Envío de resultados al cliente de cada uno de los instrumentos.

3.2. Diseño de la práctica “Módulo PID”

Para esta práctica se consideró implementar un sistema permitiese ajustar los

parámetros de la resistencia en el circuito del sistema de control gobernado por un

controlador PID. Se escogió como planta un horno en pequeña escala compuesto

de un bombillo y una termocupla como el que se muestra en la figura 16. Para

efectos de modelaje de la práctica se consideró que este horno era una planta de

primer orden. Para el diseño de esta práctica se siguió una metodología similar a

la de la anterior práctica diseñada. En la figura 4 se observa el diagrama de

bloques de la tarjeta.

IEL2-II-04-01

33

Figura 16 – Planta a controlar.

Para efectos de simulación se consideró un sistema de primer orden, tomado de la

solución de un horno típico, mostrada en la ecuación (1):

115,3

8.0)(

+

=

ssH (1)

El sistema de control que se desea diseñar para la práctica es representado en la

figura 17. Su respuesta ante una entrada de referencia de 60 grados es mostrada

en la figura 18.

Figura 17 – Sistema de control.

IEL2-II-04-01

34

De igual manera se dimensionó un sistema que pudiese controlar los valores de

las resistencias que controlan los valor P , I y D del controlador. En la figura 19 se

puede observar el diagrama de bloques del módulo diseñado.

Los módulos son similares con respecto a la práctica de la resistencias, ya que la

interfaz GPIB y la unidad de control de la práctica cumplen las misma función. En

esta práctica se tienen dos puntos de medición uno a la entrada de referencia y

otro a la salida del lazo de control. En el anexo 5 se muestra el cirucito eléctrico

del control PID así como sus relaciones entre P,I y D y las resistencias.

Figura 18 – Respuesta en el tiempo del sistema.

Figura 19 – Diagrama de bloques del módulo PID.

IEL2-II-04-01

35

3.3. Diseño de la práctica “Caracterización de una antena patch”

La figura 20 muestra el diagrama de bloques de la práctica implementada. En esta

práctica se pretendió explotar directamente las capacidades que brindan el

protocolo GPIB en el manejo remoto de instrumentos. Es por esto que esta

práctica se dimensionó para que un equipo de análisis de espectros

electromagnéticos realice la totalidad de las medidas a una antena de parche de

2.4 Ghz. Está práctica basada en la en la guía de laboratorio de la referencia en

las guía del laboratorio de Microondas de la Universidad de los Andes.

3.3.1. Diseño de la práctica y disposición de la antena del equipo.

Figura 20 – Diagrama de bloques de la práctica

El equipo utilizado para este fin es un analizador de espectros HP 8595E. Este

analizador tiene un rango de frecuencias de 9 KHz a 6.5 GHz y un rango dinámico

de 100 dB, lo que permite caracterizar dispositivos que operen en las tres primeras

bandas ISM. E la figura 21 se puede observar la disposición de la antena y el

equipos para poder realizar la práctica. No es necesario conectar a través del

sistema de interconexión la práctica ya que solo se requiere un punto de medición

y además se presentan restricciones en el manejo de circuitos de altas frecuencias

en la matriz de interconexión.

IEL2-II-04-01

36

Figura 21 – Disposición de la antena en el analizador de espectros.

El siguiente es el desarrollo de la práctica, consignado en el manual de laboratorio

del curso de microondas:

- Selección de la Ventana de Observación: Con el rango de frecuencias inicial,

es difícil observar la respuesta de la antena cerca a la frecuencia de resonancia.

Para una medición cómoda y precisa, es necesario reducir el rango de frecuencias

visualizado. Cambie el rango de frecuencias de barrido para que la mínima

frecuencia (borde izquierdo de la retícula) sea de 2.2 GHz y la máxima frecuencia

(borde derecho de la retícula) sea de 2.6 GHz. Se debe ver un mínimo en

la grá.ca de S11 en alguna frecuencia cercana a 2.37 GHz.

- Cambio de Escala: En este caso, el rango del eje de magnitud (vertical) de la

retícula de la pantalla. es demasiado grande para observar en detalle la grá.ca del

cociente de reflexión de la antena. Una opción para visualizar de manera más

clara la gráfica es reducir la escala. Reduzca la escala de 10 dB/división a 2

dB/división. Ahora la gráfica se verá en más detalle.

IEL2-II-04-01

37

- Cambio de Referencia: Aún después del cambio de escala, la gráfica sólo

abarca la mitad inferior del área útil de la pantalla. Para aprovechar toda el

área útil de la pantalla, es necesario cambiar la posición de referencia (la

magnitud correspondiente al 0 dB) de la gráfica. Este nivel, por defecto, está en

la mitad de la retícula (quinta división. Cambie el nivel de referencia a la

décima división. La grá.ca deberá abarcar toda la pantalla.

- Uso de los Cursores: Dos parámetros con los que se suele caracterizar el

desempeño de una antena de banda angosta son: la frecuencia de resonancia y el

ancho de banda. La frecuencia de resonancia f0 se define como la frecuencia a la

cual el coeficiente de reflexión es mínimo. El ancho de banda a -3 dB se define

como el ancho del mínimo intervalo de frecuencias que contiene a f0 y en cuyos

extremos, el coeficiente de re.exión es 3 dB mayor que el coeficiente de reflexión a

f0.

Posicione el cursor 1 en el mínimo de la gráfica de S11:

Posicione el cursor 2 en la menor frecuencia que sea mayor a f0 y a la cual el

coeficiente de reflexión sea 3 dB mayor que el coeficiente de reflexión medido por

el cursor 1.

Posicione el cursor 3 en la mayor frecuencia que sea menor a f0 y a la cualel

coeficiente de reflexión sea 3 dB mayor que el coeficiente de reflexión medido por

el cursor 1.

Anote la frecuencia de resonancia y el ancho de banda de la antena (diferencia

entre la frecuencia del cursor 2 y la frecuencia del cursor 3).

3.3.2. Interfaz al usuario para la práctica.

Esta interfaz fue diseñada pensando en la necesidad de realizar una práctica con

un instrumento del cual solo tiene una unidad, ya que el este equipo es costoso. El

analizador de redes está instalado en el laboratorio de microondas de la

universidad y sus desplazamiento a otros lugares es complicado. En este sentido

IEL2-II-04-01

38

la interfaz remota debe constituirse prácticamente en un mímico del tablero

original del instrumento.

Para poder operar esta práctica, debe antes conectarse el equipo a la antena que

se quiere probar y seguir las normas de seguridad del funcionamiento del

dispositivo. Teniendo ya instalado este equipo se puede lanzar la práctica desde

su interfaz. En la figura 22 se puede observar la interfaz desarrollada, que

dependiendo de cada punto a realizar en la práctica permite ajustar y configurar

los parámetros relevantes a la medida que se está tomando

Figura 22 – Interfaz para la práctica “Caracterización de una Antena de Parche (Patch) para 2.4

GHz”

La figura 22 muestra que la interfaz cuenta con un botón “enviar datos”. Este botón

permite enviar la información de los parámetros a modificar y recupera la vista que

se tiene remotamente en la pantalla del analizador. Junto al visor de la interfaz se

cuenta con un botón “guardar disco” que permite almacenar los datos visualizados

en el visor como un archivo gráfico.

IEL2-II-04-01

39

4. Pruebas y resultados.

4.1. Tarjeta para la práctica “Leyes básicas eléctricas”

En el desarrollo de este prototipo se realizaron diversos tipos de pruebas para

determinar el correcto funcionamiento del prototipo. Se realizaron simulaciones de

las descripciones VHDL y de los códigos de ensamblador que soportan el

funcionamiento de esta. Hay que tener en cuenta que este proyecto se están

enlazando diferentes tecnologías de dispositivos lógicos programables y

microcontroladores, por lo que fue necesario prestar especial atención en la

comunicación entre los diferentes módulos que componen el sistema. Para el caso

de la tarjeta de la práctica “Leyes básicas eléctricas” Se completó

satisfactoriamente la implementación de la tarjeta. Está prototipo fue

implementado fue sobre una placa doble faz con huecos pasantes. El diseño del

circuito impreso de la práctica fue realizado en el programa Eagle, herramienta

que se ajustan a las necesidades de diseño requeridas para este fin.

Se desarrollaron pruebas de funcionamiento de la tarjeta que arrojaron buenos

resultados en el control de puente de resistencias digitales, permitiendo así

controlar correctamente los valores de resistencia en el rango especificado y

cambiando el estado de los interruptores que se encuentran en los ramales del

puente.

Las pruebas realizadas involucraron la inicialización y la prueba de reset para el

dispositivo, se ajustaron los valores de todas las resistencias y se hizo una prueba

del flujo de corriente eléctrico a través de un ramal del puente.

Al momento de la realización de este documento no se realizaron pruebas que

involucraran el funcionamiento del módulo de interfaz GPIB, ya que no se ha

logrado que la repuesta del CPLD sea lo suficientemente rápida para lograr que el

IEL2-II-04-01

40

controlador GPIB pueda reconocer a la tarjeta de práctica como un dispositivo

GPIB. A futuro se recomienda trabajar en la descripción VHDL de este controlador

para lograr una comunicación efectiva con el bus GPIB.

La tarjeta de está práctica presenta incluidos en su diseño una interfase JTAG

para programar el bloque de interfaz con GPIB y un programador para la unidad

de control. Estos dos dispositivos permiten hacer modificaciones a las

descripciones y al código ensamblador de la tarjeta. Esta característica permitirá

una actualización y una mejora futura a este módulo, ya que la expansión del

proyecto del laboratorio, requerirá ajustar el funcionamiento del hardware el

software anteriormente desarrollado, a las nuevas necesidades que este

crecimiento requiera. Una posibilidad expuesta ante la existencia de estas

interfases de programación es poder establecer un módulo fijo de programación

que se parte de la estructura del sistema del laboratorio, permitiendo así mayor

versatilidad a la hora de realizar ajustes y actualizaciones.

El montaje de la resistencias digitales en la placa base fue realizado mediante

sockets que permiten que permiten que los valores máximos de la resistencia

sean modificados mediante el reemplazo de estos por dispositivos de la misma

familia con un mayor valor de resistencias. Sin embargo la reducción del valor

(utilizar potenciómetros digitales de valor menores a 1k), no ha sido probado y

puede presentar problemas eléctricos, ya que el criterio utilizado para el diseño del

puente fue el uso de valores mayores a 1K.

IEL2-II-04-01

41

4.2. Tarjeta para la práctica “módulo PID”.

Para este diseño se trabajó con una planta conocida como lo es el modelo de

horno mediante un bombillo y una termocupla. En primera instancia se dispuso a

realizar pruebas con el controlador implementado y fue posible ejercer un control

del proceso. Seguido a esto, se comenzó a diseñar la integración de este

controlador con el módulo de controlador y de interfaz GPIB. Se presentaron

restricciones en el proceso de diseño ya que la tecnología disponible en

resistencias digitales no permite lograr los valores requeridos para lograr la

estabilización del sistema.

Para continuar el desarrollo de este módulo se propone seguir investigando en el

tema de las resistencias digitales y proponer alternativas tecnológicas que

complementen o mejoren el desempeño de los dispositivos actuales.

4.3. Interfaz al usuario.

Se desarrolló una interfaz básica para las dos prácticas implementadas, que

permiten el manejo remoto de estas. Aunque actualmente la interfaz se encuentra

en funcionamiento, no es posible realizar una prueba que involucre la conexión a

los prototipos, ya que aún no se ha desarrollado un programa que funcione como

servidor para el laboratorio.

IEL2-II-04-01

42

5. Conclusiones y perspectivas.

La interconexión de instrumentos mediante GPIB es robusta y acorde con las

necesidades del proyecto. Las pruebas realizadas en trabajos anteriores y los

proyectos realizados en la universidad han mostrado que el protocolo GPIB

permite interconectar los equipos necesarios para la primera fase del proyecto y

para los desarrollos subsecuentes que se generan a partir del actual estado del

arte del proyecto. Para esta primera fase fue solo necesario utilizar una tarjeta

controladora GPIB, para lograr la comunicación entre el servidor y la prácticas. Se

acondicionó un equipo para este fin.

Se diseñaron e implementaron dos prácticas para el laboratorio a distancia de la

Universidad de los Andes. En primera instancia se diseño e implementó kla

práctica “Leyes básicas eléctricas” y luego se diseño en implementó la práctica

“caracterización de una antena de parche”. Se siguieron los parámetros de diseño

establecidos en este proyecto con el fin de permitir una consolidación y una

expansión de este.

Debido a problemas con la alternativa tecnológica escogida para la

implementación del módulo PID, este no fue implementado. El considerar otras

alternativas tecnológicas para reemplazar las actuales resistencias digitales

utilizadas, permitiría implementar esta práctica.

En esta etapa del proyecto se ha gestado una parte fundamental para la creación

del laboratorio a distancia. Para consolidar este laboratorio es preciso trabajar en

el desarrollo de un servidor que permita la conexión entre cliente y prácticas.

También es necesario trabajar con miras a la creación de nuevas prácticas y

nuevos módulos que flexibilicen al sistema y expandan sus capacidades.

IEL2-II-04-01

43

Anexo 1 – Descripción VHDL de la interfaz GPIB.

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are

-- provided for instantiating Xilinx primitive components.

--library UNISIM;

--use UNISIM.VComponents.all;

entity maquina is

port(clk : in std_logic;--Reloj

atn : in std_logic;--Bit de atenttion manejado por el controlador

ifc : in std_logic;--Reset global

dr : in std_logic;-- Dato recibido, interfaz interna

hd : out std_logic;--Hay dato, interfaz interna

ld : out std_logic;--leer direccion

dl : in std_logic;--direccion leida

A : in std_logic_vector(1 downto 0);--respuesta de direccion

DIOi : in std_logic_vector(7 downto 0);--bus de interfaz con GPIB

DIOo : out std_logic_vector(7 downto 0);--bus de interfaz con GPIB

BIOi : in std_logic_vector(7 downto 0);--bus interfaz interna

BIOo : out std_logic_vector(7 downto 0);--bus interfaz interna

nrfdi : in std_logic;--not ready for data

nrfdo : out std_logic;--not ready for data

ndaci : in std_logic;--not data accepted

ndaco : out std_logic;--not data accepted

davi : in std_logic;--data valid

davo : out std_logic;--data valid

eoii : in std_logic;--end of string

eoio : out std_logic;--end of string

eoiii : in std_logic;--end of string interna

oeDI : out std_logic; -- Output enable bus DIO

oeBI : out std_logic; -- Output enable bus BIO

oeNR : out std_logic; -- Output enable NRFD

oeND : out std_logic; -- Output enable NDAC

oeDA : out std_logic; -- Output enable DAV

oeEO : out std_logic -- Output enable EOI

);

end maquina;

architecture arqmaquina of maquina is

IEL2-II-04-01

44

--definicion de los estados y variables

type state is (init, w1, w2, w3, n1, w4, l1, l2, l3, t1, t2, t3, tim1,tim2,tim3,tim4);

signal stactual: state;

--signal DIOoe, BIOoe, nrfdoe, ndacoe, davoe, eoioe:std_logic;

--comienza arquitectura

begin

process(clk,ifc)

--comienza proceso

begin

if ifc='1' then

stactual<=init;

elsif clk'event and clk='1' then

case stactual is

when init =>

nrfdo<='1';

ld<='0';

ndaco<='1';

BIOo<="00000000";

oeDI <= '1'; -- Output enable bus DIO

oeBI <= '0'; -- Output enable bus BIO

oeNR <= '0'; -- Output enable NRFD

oeND <= '0'; -- Output enable NDAC

oeDA <= '1'; -- Output enable DAV

oeEO <= '1'; -- Output enable EOI

if atn='1' then

stactual<=w1;

end if;

when w1 =>

eoio<='0';

nrfdo<='1';

ld<='0';

ndaco<='0';

oeDI <= '1'; -- Output enable bus DIO

oeBI <= '0'; -- Output enable bus BIO

oeNR <= '0'; -- Output enable NRFD

oeND <= '0'; -- Output enable NDAC

oeDA <= '1'; -- Output enable DAV

oeEO <= '1'; -- Output enable EOI

if davi='0' then

stactual<=w2;

end if;

IEL2-II-04-01

45

when w2 =>

nrfdo<='0';

ld<='1';

ndaco<='1';

oeDI <= '1'; -- Output enable bus DIO

oeBI <= '0'; -- Output enable bus BIO

oeNR <= '0'; -- Output enable NRFD

oeND <= '0'; -- Output enable NDAC

oeDA <= '1'; -- Output enable DAV

oeEO <= '1'; -- Output enable EOI

if A="00" and dl='1'then

stactual<=n1;

elsif A="10" and dl='1'then

stactual<=w3; -- Instrucción Listener

elsif A="01" and dl='1'then

stactual<=w4; -- Instrucción Talker

end if;

when n1 =>

nrfdo<='0';

ld<='0';

ndaco<='0';

oeDI <= '1'; -- Output enable bus DIO

oeBI <= '0'; -- Output enable bus BIO

oeNR <= '0'; -- Output enable NRFD

oeND <= '0'; -- Output enable NDAC

oeDA <= '1'; -- Output enable DAV

oeEO <= '1'; -- Output enable EOI

if davi='1' then

stactual<=w1;

end if;

when w3 =>

nrfdo<='1';

ld<='0';

ndaco<='1';

oeDI <= '1'; -- Output enable bus DIO

oeBI <= '0'; -- Output enable bus BIO

oeNR <= '0'; -- Output enable NRFD

oeND <= '0'; -- Output enable NDAC

oeDA <= '1'; -- Output enable DAV

oeEO <= '1'; -- Output enable EOI

if atn='0' then

stactual<=l1;

end if;

when l1 =>

IEL2-II-04-01

46

nrfdo<='1';

ld<='0';

ndaco<='1';

hd<='0';

if davi='0' then

stactual<=l2;

end if;

when l2 =>

nrfdo<='0';

ld<='0';

ndaco<='1';

BIOo<=DIOi;

stactual<=tim1;

when tim1 =>

hd<='1';

if dr='1' then

stactual<=l3;

end if;

when l3 =>

nrfdo<='0';

ld<='0';

ndaco<='0';

hd<='0';

if davi='1' and eoii='0' then

stactual<=l1;

end if;

if eoii='1' then

stactual<=init;

end if;

when w4 =>

davo<='1';

ld<='0';

oeDI <= '0'; -- Output enable bus DIO

oeBI <= '1'; -- Output enable bus BIO

oeNR <= '1'; -- Output enable NRFD

oeND <= '1'; -- Output enable NDAC

oeDA <= '0'; -- Output enable DAV

oeEO <= '0'; -- Output enable EOI

if atn='0' then

stactual<=t1;

end if;

when t1 =>

IEL2-II-04-01

47

ld<='0';

davo<='1';

hd<='0';

if dr='1' then

stactual<= tim2;

end if;

when tim2 =>

DIOo<=BIOi;

if nrfdi = '1' then

stactual <= t2;

end if;

when t2 =>

davo<='0';

stactual<=t3;

when t3 =>

davo<='0';

hd<='1';

if ndaci='1' and eoiii='0' and dr='0' then

stactual<=t1;

end if;

if eoiii='1' then

stactual<=tim3;

eoio<='1';

end if;

when tim3 =>

stactual<=init;

when others =>

end case;

end if;

end process;

end arqmaquina;

IEL2-II-04-01

48

Anexo 2 – Algoritmo para la unidad de control de las prácticas.

IEL2-II-04-01

49

Anexo 3 – Esquemático del circuito de la práctica “Leyes básicas eléctricas”.

a. Conector de la tarjeta.

IEL2-II-04-01

50

b. Interfaz GPIB

CPLD 9572.

Resistencias de pull para el bus GPIB.

Oscilador CPLD.

IEL2-II-04-01

51

c. Unidad de control de la práctica.

Microchip 16F877.

Oscilador.

IEL2-II-04-01

52

d. Puente de resistencias digitales.

Puente de resistencias digitales.

Drivers para el bus GPIB.

IEL2-II-04-01

53

e. Interfaz de programación JTAG y programador del microcontrolador PIC 16F877.

Programador del PIC 16f877.

Conexión con JTAG.

IEL2-II-04-01

54

f. Descripción de las conexiones del CPLD. Pin Señal 1 BIO<3>

2 BIO<4>

3 TIE

4 BIO<5>

5 clk

6 Addr<0>

7 TIE

8 BIO<6>

9 BIO<2>

10 GND

11 hd

12 DIO<5>

13 DIO<6>

14 DIO<7>

15 TDI

16 TMS

17 TCK

18 dav

19 eoi

20 dr

21 VCC

22 Addr<2>

23 GND

24 BIO<0>

25 eoii

26 BIO<1>

27 TIE

28 BIO<7>

29 DIO<0>

30 TDO

31 GND

32 VCC

33 atn

34 DIO<1>

35 nrfd

36 DIO<2>

37 Addr<4>

38 DIO<3>

39 ifc

40 Addr<1>

41 VCC

42 Addr<3>

43 DIO<4>

44 ndac

IEL2-II-04-01

55

g. Conexiones del PIC 16F877

Pin Señal 1 NC

2 MCLR

3 ADDR0

4 ADDR1

5 ADDR2

6 ADDR3

7 ADDR4

8 NC

9 HD

10 DR

11 EOII

12 VDD

13 VSS

14 OSC1

15 OSC2

16 CS1

17 NC

18 CS2

19 CS3

20 CS4

21 RELE1

22 RELE2

23 RELE3

24 RELE4

25 CS5

26 CS6

27 INC

28 NC

29 UD

30 RELE5

31 RELE6

32 NC

33 NC

34 VDD

35 Vss

36 BIO0

37 BIO1

38 BIO2

39 BIO3

40 NC

41 VCC

42 BIO4

43 BIO5

44 BIO6

IEL2-II-04-01

56

Anexo 4 – Circuito impreso de la tarjeta para la práctica “Leyes básicas eléctricas”.

a. Capa Top

b. Capa Bottom

IEL2-II-04-01

57

Anexo 5 – Esquemático del controlador PID diseñado para la práctica “Módulo PID”.